pro_registers.v 623 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436143714381439144014411442144314441445144614471448144914501451145214531454145514561457145814591460146114621463146414651466146714681469147014711472147314741475147614771478147914801481148214831484148514861487148814891490149114921493149414951496149714981499150015011502150315041505150615071508150915101511151215131514151515161517151815191520152115221523152415251526152715281529153015311532153315341535153615371538153915401541154215431544154515461547154815491550155115521553155415551556155715581559156015611562156315641565156615671568156915701571157215731574157515761577157815791580158115821583158415851586158715881589159015911592159315941595159615971598159916001601160216031604160516061607160816091610161116121613161416151616161716181619162016211622162316241625162616271628162916301631163216331634163516361637163816391640164116421643164416451646164716481649165016511652165316541655165616571658165916601661166216631664166516661667166816691670167116721673167416751676167716781679168016811682168316841685168616871688168916901691169216931694169516961697169816991700170117021703170417051706170717081709171017111712171317141715171617171718171917201721172217231724172517261727172817291730173117321733173417351736173717381739174017411742174317441745174617471748174917501751175217531754175517561757175817591760176117621763176417651766176717681769177017711772177317741775177617771778177917801781178217831784178517861787178817891790179117921793179417951796179717981799180018011802180318041805180618071808180918101811181218131814181518161817181818191820182118221823182418251826182718281829183018311832183318341835183618371838183918401841184218431844184518461847184818491850185118521853185418551856185718581859186018611862186318641865186618671868186918701871187218731874187518761877187818791880188118821883188418851886188718881889189018911892189318941895189618971898189919001901190219031904190519061907190819091910191119121913191419151916191719181919192019211922192319241925192619271928192919301931193219331934193519361937193819391940194119421943194419451946194719481949195019511952195319541955195619571958195919601961196219631964196519661967196819691970197119721973197419751976197719781979198019811982198319841985198619871988198919901991199219931994199519961997199819992000200120022003200420052006200720082009201020112012201320142015201620172018201920202021202220232024202520262027202820292030203120322033203420352036203720382039204020412042204320442045204620472048204920502051205220532054205520562057205820592060206120622063206420652066206720682069207020712072207320742075207620772078207920802081208220832084208520862087208820892090209120922093209420952096209720982099210021012102210321042105210621072108210921102111211221132114211521162117211821192120212121222123212421252126212721282129213021312132213321342135213621372138213921402141214221432144214521462147214821492150215121522153215421552156215721582159216021612162216321642165216621672168216921702171217221732174217521762177217821792180218121822183218421852186218721882189219021912192219321942195219621972198219922002201220222032204220522062207220822092210221122122213221422152216221722182219222022212222222322242225222622272228222922302231223222332234223522362237223822392240224122422243224422452246224722482249225022512252225322542255225622572258225922602261226222632264226522662267226822692270227122722273227422752276227722782279228022812282228322842285228622872288228922902291229222932294229522962297229822992300230123022303230423052306230723082309231023112312231323142315231623172318231923202321232223232324232523262327232823292330233123322333233423352336233723382339234023412342234323442345234623472348234923502351235223532354235523562357235823592360236123622363236423652366236723682369237023712372237323742375237623772378237923802381238223832384238523862387238823892390239123922393239423952396239723982399240024012402240324042405240624072408240924102411241224132414241524162417241824192420242124222423242424252426242724282429243024312432243324342435243624372438243924402441244224432444244524462447244824492450245124522453245424552456245724582459246024612462246324642465246624672468246924702471247224732474247524762477247824792480248124822483248424852486248724882489249024912492249324942495249624972498249925002501250225032504250525062507250825092510251125122513251425152516251725182519252025212522252325242525252625272528252925302531253225332534253525362537253825392540254125422543254425452546254725482549255025512552255325542555255625572558255925602561256225632564256525662567256825692570257125722573257425752576257725782579258025812582258325842585258625872588258925902591259225932594259525962597259825992600260126022603260426052606260726082609261026112612261326142615261626172618261926202621262226232624262526262627262826292630263126322633263426352636263726382639264026412642264326442645264626472648264926502651265226532654265526562657265826592660266126622663266426652666266726682669267026712672267326742675267626772678267926802681268226832684268526862687268826892690269126922693269426952696269726982699270027012702270327042705270627072708270927102711271227132714271527162717271827192720272127222723272427252726272727282729273027312732273327342735273627372738273927402741274227432744274527462747274827492750275127522753275427552756275727582759276027612762276327642765276627672768276927702771277227732774277527762777277827792780278127822783278427852786278727882789279027912792279327942795279627972798279928002801280228032804280528062807280828092810281128122813281428152816281728182819282028212822282328242825282628272828282928302831283228332834283528362837283828392840284128422843284428452846284728482849285028512852285328542855285628572858285928602861286228632864286528662867286828692870287128722873287428752876287728782879288028812882288328842885288628872888288928902891289228932894289528962897289828992900290129022903290429052906290729082909291029112912291329142915291629172918291929202921292229232924292529262927292829292930293129322933293429352936293729382939294029412942294329442945294629472948294929502951295229532954295529562957295829592960296129622963296429652966296729682969297029712972297329742975297629772978297929802981298229832984298529862987298829892990299129922993299429952996299729982999300030013002300330043005300630073008300930103011301230133014301530163017301830193020302130223023302430253026302730283029303030313032303330343035303630373038303930403041304230433044304530463047304830493050305130523053305430553056305730583059306030613062306330643065306630673068306930703071307230733074307530763077307830793080308130823083308430853086308730883089309030913092309330943095309630973098309931003101310231033104310531063107310831093110311131123113311431153116311731183119312031213122312331243125312631273128312931303131313231333134313531363137313831393140314131423143314431453146314731483149315031513152315331543155315631573158315931603161316231633164316531663167316831693170317131723173317431753176317731783179318031813182318331843185318631873188318931903191319231933194319531963197319831993200320132023203320432053206320732083209321032113212321332143215321632173218321932203221322232233224322532263227322832293230323132323233323432353236323732383239324032413242324332443245324632473248324932503251325232533254325532563257325832593260326132623263326432653266326732683269327032713272327332743275327632773278327932803281328232833284328532863287328832893290329132923293329432953296329732983299330033013302330333043305330633073308330933103311331233133314331533163317331833193320332133223323332433253326332733283329333033313332333333343335333633373338333933403341334233433344334533463347334833493350335133523353335433553356335733583359336033613362336333643365336633673368336933703371337233733374337533763377337833793380338133823383338433853386338733883389339033913392339333943395339633973398339934003401340234033404340534063407340834093410341134123413341434153416341734183419342034213422342334243425342634273428342934303431343234333434343534363437343834393440344134423443344434453446344734483449345034513452345334543455345634573458345934603461346234633464346534663467346834693470347134723473347434753476347734783479348034813482348334843485348634873488348934903491349234933494349534963497349834993500350135023503350435053506350735083509351035113512351335143515351635173518351935203521352235233524352535263527352835293530353135323533353435353536353735383539354035413542354335443545354635473548354935503551355235533554355535563557355835593560356135623563356435653566356735683569357035713572357335743575357635773578357935803581358235833584358535863587358835893590359135923593359435953596359735983599360036013602360336043605360636073608360936103611361236133614361536163617361836193620362136223623362436253626362736283629363036313632363336343635363636373638363936403641364236433644364536463647364836493650365136523653365436553656365736583659366036613662366336643665366636673668366936703671367236733674367536763677367836793680368136823683368436853686368736883689369036913692369336943695369636973698369937003701370237033704370537063707370837093710371137123713371437153716371737183719372037213722372337243725372637273728372937303731373237333734373537363737373837393740374137423743374437453746374737483749375037513752375337543755375637573758375937603761376237633764376537663767376837693770377137723773377437753776377737783779378037813782378337843785378637873788378937903791379237933794379537963797379837993800380138023803380438053806380738083809381038113812381338143815381638173818381938203821382238233824382538263827382838293830383138323833383438353836383738383839384038413842384338443845384638473848384938503851385238533854385538563857385838593860386138623863386438653866386738683869387038713872387338743875387638773878387938803881388238833884388538863887388838893890389138923893389438953896389738983899390039013902390339043905390639073908390939103911391239133914391539163917391839193920392139223923392439253926392739283929393039313932393339343935393639373938393939403941394239433944394539463947394839493950395139523953395439553956395739583959396039613962396339643965396639673968396939703971397239733974397539763977397839793980398139823983398439853986398739883989399039913992399339943995399639973998399940004001400240034004400540064007400840094010401140124013401440154016401740184019402040214022402340244025402640274028402940304031403240334034403540364037403840394040404140424043404440454046404740484049405040514052405340544055405640574058405940604061406240634064406540664067406840694070407140724073407440754076407740784079408040814082408340844085408640874088408940904091409240934094409540964097409840994100410141024103410441054106410741084109411041114112411341144115411641174118411941204121412241234124412541264127412841294130413141324133413441354136413741384139414041414142414341444145414641474148414941504151415241534154415541564157415841594160416141624163416441654166416741684169417041714172417341744175417641774178417941804181418241834184418541864187418841894190419141924193419441954196419741984199420042014202420342044205420642074208420942104211421242134214421542164217421842194220422142224223422442254226422742284229423042314232423342344235423642374238423942404241424242434244424542464247424842494250425142524253425442554256425742584259426042614262426342644265426642674268426942704271427242734274427542764277427842794280428142824283428442854286428742884289429042914292429342944295429642974298429943004301430243034304430543064307430843094310431143124313431443154316431743184319432043214322432343244325432643274328432943304331433243334334433543364337433843394340434143424343434443454346434743484349435043514352435343544355435643574358435943604361436243634364436543664367436843694370437143724373437443754376437743784379438043814382438343844385438643874388438943904391439243934394439543964397439843994400440144024403440444054406440744084409441044114412441344144415441644174418441944204421442244234424442544264427442844294430443144324433443444354436443744384439444044414442444344444445444644474448444944504451445244534454445544564457445844594460446144624463446444654466446744684469447044714472447344744475447644774478447944804481448244834484448544864487448844894490449144924493449444954496449744984499450045014502450345044505450645074508450945104511451245134514451545164517451845194520452145224523452445254526452745284529453045314532453345344535453645374538453945404541454245434544454545464547454845494550455145524553455445554556455745584559456045614562456345644565456645674568456945704571457245734574457545764577457845794580458145824583458445854586458745884589459045914592459345944595459645974598459946004601460246034604460546064607460846094610461146124613461446154616461746184619462046214622462346244625462646274628462946304631463246334634463546364637463846394640464146424643464446454646464746484649465046514652465346544655465646574658465946604661466246634664466546664667466846694670467146724673467446754676467746784679468046814682468346844685468646874688468946904691469246934694469546964697469846994700470147024703470447054706470747084709471047114712471347144715471647174718471947204721472247234724472547264727472847294730473147324733473447354736473747384739474047414742474347444745474647474748474947504751475247534754475547564757475847594760476147624763476447654766476747684769477047714772477347744775477647774778477947804781478247834784478547864787478847894790479147924793479447954796479747984799480048014802480348044805480648074808480948104811481248134814481548164817481848194820482148224823482448254826482748284829483048314832483348344835483648374838483948404841484248434844484548464847484848494850485148524853485448554856485748584859486048614862486348644865486648674868486948704871487248734874487548764877487848794880488148824883488448854886488748884889489048914892489348944895489648974898489949004901490249034904490549064907490849094910491149124913491449154916491749184919492049214922492349244925492649274928492949304931493249334934493549364937493849394940494149424943494449454946494749484949495049514952495349544955495649574958495949604961496249634964496549664967496849694970497149724973497449754976497749784979498049814982498349844985498649874988498949904991499249934994499549964997499849995000500150025003500450055006500750085009501050115012501350145015501650175018501950205021502250235024502550265027502850295030503150325033503450355036503750385039504050415042504350445045504650475048504950505051505250535054505550565057505850595060506150625063506450655066506750685069507050715072507350745075507650775078507950805081508250835084508550865087508850895090509150925093509450955096509750985099510051015102510351045105510651075108510951105111511251135114511551165117511851195120512151225123512451255126512751285129513051315132513351345135513651375138513951405141514251435144514551465147514851495150515151525153515451555156515751585159516051615162516351645165516651675168516951705171517251735174517551765177517851795180518151825183518451855186518751885189519051915192519351945195519651975198519952005201520252035204520552065207520852095210521152125213521452155216521752185219522052215222522352245225522652275228522952305231523252335234523552365237523852395240524152425243524452455246524752485249525052515252525352545255525652575258525952605261526252635264526552665267526852695270527152725273527452755276527752785279528052815282528352845285528652875288528952905291529252935294529552965297529852995300530153025303530453055306530753085309531053115312531353145315531653175318531953205321532253235324532553265327532853295330533153325333533453355336533753385339534053415342534353445345534653475348534953505351535253535354535553565357535853595360536153625363536453655366536753685369537053715372537353745375537653775378537953805381538253835384538553865387538853895390539153925393539453955396539753985399540054015402540354045405540654075408540954105411541254135414541554165417541854195420542154225423542454255426542754285429543054315432543354345435543654375438543954405441544254435444544554465447544854495450545154525453545454555456545754585459546054615462546354645465546654675468546954705471547254735474547554765477547854795480548154825483548454855486548754885489549054915492549354945495549654975498549955005501550255035504550555065507550855095510551155125513551455155516551755185519552055215522552355245525552655275528552955305531553255335534553555365537553855395540554155425543554455455546554755485549555055515552555355545555555655575558555955605561556255635564556555665567556855695570557155725573557455755576557755785579558055815582558355845585558655875588558955905591559255935594559555965597559855995600560156025603560456055606560756085609561056115612561356145615561656175618561956205621562256235624562556265627562856295630563156325633563456355636563756385639564056415642564356445645564656475648564956505651565256535654565556565657565856595660566156625663566456655666566756685669567056715672567356745675567656775678567956805681568256835684568556865687568856895690569156925693569456955696569756985699570057015702570357045705570657075708570957105711571257135714571557165717571857195720572157225723572457255726572757285729573057315732573357345735573657375738573957405741574257435744574557465747574857495750575157525753575457555756575757585759576057615762576357645765576657675768576957705771577257735774577557765777577857795780578157825783578457855786578757885789579057915792579357945795579657975798579958005801580258035804580558065807580858095810581158125813581458155816581758185819582058215822582358245825582658275828582958305831583258335834583558365837583858395840584158425843584458455846584758485849585058515852585358545855585658575858585958605861586258635864586558665867586858695870587158725873587458755876587758785879588058815882588358845885588658875888588958905891589258935894589558965897589858995900590159025903590459055906590759085909591059115912591359145915591659175918591959205921592259235924592559265927592859295930593159325933593459355936593759385939594059415942594359445945594659475948594959505951595259535954595559565957595859595960596159625963596459655966596759685969597059715972597359745975597659775978597959805981598259835984598559865987598859895990599159925993599459955996599759985999600060016002600360046005600660076008600960106011601260136014601560166017601860196020602160226023602460256026602760286029603060316032603360346035603660376038603960406041604260436044604560466047604860496050605160526053605460556056605760586059606060616062606360646065606660676068606960706071607260736074607560766077607860796080608160826083608460856086608760886089609060916092609360946095609660976098609961006101610261036104610561066107610861096110611161126113611461156116611761186119612061216122612361246125612661276128612961306131613261336134613561366137613861396140614161426143614461456146614761486149615061516152615361546155615661576158615961606161616261636164616561666167616861696170617161726173617461756176617761786179618061816182618361846185618661876188618961906191619261936194619561966197619861996200620162026203620462056206620762086209621062116212621362146215621662176218621962206221622262236224622562266227622862296230623162326233623462356236623762386239624062416242624362446245624662476248624962506251625262536254625562566257625862596260626162626263626462656266626762686269627062716272627362746275627662776278627962806281628262836284628562866287628862896290629162926293629462956296629762986299630063016302630363046305630663076308630963106311631263136314631563166317631863196320632163226323632463256326632763286329633063316332633363346335633663376338633963406341634263436344634563466347634863496350635163526353635463556356635763586359636063616362636363646365636663676368636963706371637263736374637563766377637863796380638163826383638463856386638763886389639063916392639363946395639663976398639964006401640264036404640564066407640864096410641164126413641464156416641764186419642064216422642364246425642664276428642964306431643264336434643564366437643864396440644164426443644464456446644764486449645064516452645364546455645664576458645964606461646264636464646564666467646864696470647164726473647464756476647764786479648064816482648364846485648664876488648964906491649264936494649564966497649864996500650165026503650465056506650765086509651065116512651365146515651665176518651965206521652265236524652565266527652865296530653165326533653465356536653765386539654065416542654365446545654665476548654965506551655265536554655565566557655865596560656165626563656465656566656765686569657065716572657365746575657665776578657965806581658265836584658565866587658865896590659165926593659465956596659765986599660066016602660366046605660666076608660966106611661266136614661566166617661866196620662166226623662466256626662766286629663066316632663366346635663666376638663966406641664266436644664566466647664866496650665166526653665466556656665766586659666066616662666366646665666666676668666966706671667266736674667566766677667866796680668166826683668466856686668766886689669066916692669366946695669666976698669967006701670267036704670567066707670867096710671167126713671467156716671767186719672067216722672367246725672667276728672967306731673267336734673567366737673867396740674167426743674467456746674767486749675067516752675367546755675667576758675967606761676267636764676567666767676867696770677167726773677467756776677767786779678067816782678367846785678667876788678967906791679267936794679567966797679867996800680168026803680468056806680768086809681068116812681368146815681668176818681968206821682268236824682568266827682868296830683168326833683468356836683768386839684068416842684368446845684668476848684968506851685268536854685568566857685868596860686168626863686468656866686768686869687068716872687368746875687668776878687968806881688268836884688568866887688868896890689168926893689468956896689768986899690069016902690369046905690669076908690969106911691269136914691569166917691869196920692169226923692469256926692769286929693069316932693369346935693669376938693969406941694269436944694569466947694869496950695169526953695469556956695769586959696069616962696369646965696669676968696969706971697269736974697569766977697869796980698169826983698469856986698769886989699069916992699369946995699669976998699970007001700270037004700570067007700870097010701170127013701470157016701770187019702070217022702370247025702670277028702970307031703270337034703570367037703870397040704170427043704470457046704770487049705070517052705370547055705670577058705970607061706270637064706570667067706870697070707170727073707470757076707770787079708070817082708370847085708670877088708970907091709270937094709570967097709870997100710171027103710471057106710771087109711071117112711371147115711671177118711971207121712271237124712571267127712871297130713171327133713471357136713771387139714071417142714371447145714671477148714971507151715271537154715571567157715871597160716171627163716471657166716771687169717071717172717371747175717671777178717971807181718271837184718571867187718871897190719171927193719471957196719771987199720072017202720372047205720672077208720972107211721272137214721572167217721872197220722172227223722472257226722772287229723072317232723372347235723672377238723972407241724272437244724572467247724872497250725172527253725472557256725772587259726072617262726372647265726672677268726972707271727272737274727572767277727872797280728172827283728472857286728772887289729072917292729372947295729672977298729973007301730273037304730573067307730873097310731173127313731473157316731773187319732073217322732373247325732673277328732973307331733273337334733573367337733873397340734173427343734473457346734773487349735073517352735373547355735673577358735973607361736273637364736573667367736873697370737173727373737473757376737773787379738073817382738373847385738673877388738973907391739273937394739573967397739873997400740174027403740474057406740774087409741074117412741374147415741674177418741974207421742274237424742574267427742874297430743174327433743474357436743774387439744074417442744374447445744674477448744974507451745274537454745574567457745874597460746174627463746474657466746774687469747074717472747374747475747674777478747974807481748274837484748574867487748874897490749174927493749474957496749774987499750075017502750375047505750675077508750975107511751275137514751575167517751875197520752175227523752475257526752775287529753075317532753375347535753675377538753975407541754275437544754575467547754875497550755175527553755475557556755775587559756075617562756375647565756675677568756975707571757275737574757575767577757875797580758175827583758475857586758775887589759075917592759375947595759675977598759976007601760276037604760576067607760876097610761176127613761476157616761776187619762076217622762376247625762676277628762976307631763276337634763576367637763876397640764176427643764476457646764776487649765076517652765376547655765676577658765976607661766276637664766576667667766876697670767176727673767476757676767776787679768076817682768376847685768676877688768976907691769276937694769576967697769876997700770177027703770477057706770777087709771077117712771377147715771677177718771977207721772277237724772577267727772877297730773177327733773477357736773777387739774077417742774377447745774677477748774977507751775277537754775577567757775877597760776177627763776477657766776777687769777077717772777377747775777677777778777977807781778277837784778577867787778877897790779177927793779477957796779777987799780078017802780378047805780678077808780978107811781278137814781578167817781878197820782178227823782478257826782778287829783078317832783378347835783678377838783978407841784278437844784578467847784878497850785178527853785478557856785778587859786078617862786378647865786678677868786978707871787278737874787578767877787878797880788178827883788478857886788778887889789078917892789378947895789678977898789979007901790279037904790579067907790879097910791179127913791479157916791779187919792079217922792379247925792679277928792979307931793279337934793579367937793879397940794179427943794479457946794779487949795079517952795379547955795679577958795979607961796279637964796579667967796879697970797179727973797479757976797779787979798079817982798379847985798679877988798979907991799279937994799579967997799879998000800180028003800480058006800780088009801080118012801380148015801680178018801980208021802280238024802580268027802880298030803180328033803480358036803780388039804080418042804380448045804680478048804980508051805280538054805580568057805880598060806180628063806480658066806780688069807080718072807380748075807680778078807980808081808280838084808580868087808880898090809180928093809480958096809780988099810081018102810381048105810681078108810981108111811281138114811581168117811881198120812181228123812481258126812781288129813081318132813381348135813681378138813981408141814281438144814581468147814881498150815181528153815481558156815781588159816081618162816381648165816681678168816981708171817281738174817581768177817881798180818181828183818481858186818781888189819081918192819381948195819681978198819982008201820282038204820582068207820882098210821182128213821482158216821782188219822082218222822382248225822682278228822982308231823282338234823582368237823882398240824182428243824482458246824782488249825082518252825382548255825682578258825982608261826282638264826582668267826882698270827182728273827482758276827782788279828082818282828382848285828682878288828982908291829282938294829582968297829882998300830183028303830483058306830783088309831083118312831383148315831683178318831983208321832283238324832583268327832883298330833183328333833483358336833783388339834083418342834383448345834683478348834983508351835283538354835583568357835883598360836183628363836483658366836783688369837083718372837383748375837683778378837983808381838283838384838583868387838883898390839183928393839483958396839783988399840084018402840384048405840684078408840984108411841284138414841584168417841884198420842184228423842484258426842784288429843084318432843384348435843684378438843984408441844284438444844584468447844884498450845184528453845484558456845784588459846084618462846384648465846684678468846984708471847284738474847584768477847884798480848184828483848484858486848784888489849084918492849384948495849684978498849985008501850285038504850585068507850885098510851185128513851485158516851785188519852085218522852385248525852685278528852985308531853285338534853585368537853885398540854185428543854485458546854785488549855085518552855385548555855685578558855985608561856285638564856585668567856885698570857185728573857485758576857785788579858085818582858385848585858685878588858985908591859285938594859585968597859885998600860186028603860486058606860786088609861086118612861386148615861686178618861986208621862286238624862586268627862886298630863186328633863486358636863786388639864086418642864386448645864686478648864986508651865286538654865586568657865886598660866186628663866486658666866786688669867086718672867386748675867686778678867986808681868286838684868586868687868886898690869186928693869486958696869786988699870087018702870387048705870687078708870987108711871287138714871587168717871887198720872187228723872487258726872787288729873087318732873387348735873687378738
  1. `timescale 1ns / 1ps
  2. `include "./../../gen_version/hdl_verilog/version.vh"
  3. //@project:partial_postfix_name ProcBd
  4. module pro_registers(
  5. //-------------------------------------------------------------------
  6. //用户寄存器接口
  7. //-------------------------------------------------------------------
  8. //此处自动追加用户寄存器定义
  9. //@INSERT_PORT_FLAG
  10. output reg [0 : 0] average_enable ,
  11. output reg [0 : 0] average_ram_reset ,
  12. output reg [7 : 0] average_number ,
  13. output reg [15 : 0] average_addr_init ,
  14. output reg [15 : 0] average_addr_region ,
  15. output reg [15 : 0] average_addr_over_dly_num,
  16. output reg [9 : 0] awg_addr_ctrl ,
  17. output reg [15 : 0] awg_data_in ,
  18. output reg [1 : 0] awg_wr_ctrl ,
  19. input wire [15 : 0] data_awg_rd ,
  20. output reg [4 : 0] dbi_module_en ,
  21. output reg [1 : 0] pro_factor_sel ,
  22. output reg [15 : 0] pro_factor_wa ,
  23. output reg [1 : 0] pro_factor_wd_high ,
  24. output reg [15 : 0] pro_factor_wd_low ,
  25. output reg [0 : 0] pro_factor_wen ,
  26. output reg [11 : 0] dbi_mult_factor_wa ,
  27. output reg [0 : 0] dbi_mult_factor_wd_high ,
  28. output reg [15 : 0] dbi_mult_factor_wd_low ,
  29. output reg [0 : 0] dbi_mult_factor_wen ,
  30. output reg [0 : 0] dbi_mult_inter_en ,
  31. output reg [15 : 0] dbi_mult_interp_mul ,
  32. output reg [0 : 0] dbi_mult_reset_dsp ,
  33. output reg [5 : 0] debug_num ,
  34. output reg [15 : 0] dbi_inter_comp_zero ,
  35. output reg [7 : 0] dbi_factor_select_pro ,
  36. output reg [0 : 0] dma_rst_pro ,
  37. output reg [0 : 0] channel_en ,
  38. output reg [7 : 0] rst_dcm_control ,
  39. output reg [0 : 0] data_tx_clk_reset ,
  40. output reg [0 : 0] data_tx_io_reset ,
  41. output reg [15 : 0] pro_select_acq_channel ,
  42. output reg [2 : 0] pro_linkdemux_select ,
  43. output reg [2 : 0] pro_linkmux_select ,
  44. output reg [0 : 0] fifo_ram_sel ,
  45. output reg [15 : 0] user_data_h ,
  46. output reg [15 : 0] user_data_l ,
  47. output reg [0 : 0] user_data_valid_h ,
  48. output reg [0 : 0] user_data_valid_l ,
  49. output reg [3 : 0] user_data_addr_h ,
  50. output reg [3 : 0] user_data_addr_l ,
  51. output reg [15 : 0] protocol_source_ch_sel_b1_l,
  52. output reg [15 : 0] protocol_source_ch_sel_b1_m,
  53. output reg [3 : 0] protocol_source_ch_sel_b1_h,
  54. output reg [15 : 0] protocol_source_ch_sel_b2_l,
  55. output reg [15 : 0] protocol_source_ch_sel_b2_m,
  56. output reg [3 : 0] protocol_source_ch_sel_b2_h,
  57. output reg [4 : 0] protocol_type_b1 ,
  58. output reg [4 : 0] protocol_type_b2 ,
  59. output reg [0 : 0] protocol_rst ,
  60. output reg [4 : 0] protocol_type ,
  61. output reg [15 : 0] trig_ctrl_word0 ,
  62. output reg [15 : 0] trig_ctrl_word1 ,
  63. output reg [15 : 0] trig_ctrl_word2 ,
  64. output reg [0 : 0] decode_rst ,
  65. output reg [0 : 0] dsp_set_b1 ,
  66. output reg [0 : 0] dsp_set_b2 ,
  67. output reg [11 : 0] decode_ram_predepth ,
  68. output reg [0 : 0] dsp_wrram_en ,
  69. output reg [0 : 0] dsp_rdram_en ,
  70. output reg [4 : 0] trig_type_sel ,
  71. input wire [0 : 0] ram1_data_flag ,
  72. input wire [0 : 0] ram2_data_flag ,
  73. output reg [0 : 0] dpo_digital_trig_en ,
  74. output reg [0 : 0] dpo_din_test_control ,
  75. output reg [1 : 0] dpo_en ,
  76. output reg [3 : 0] dpo_decimation ,
  77. input wire [7 : 0] dpo_cnt_screen ,
  78. input wire [7 : 0] dpo_map_dmax ,
  79. output reg [0 : 0] dpo_channel_mode ,
  80. output reg [15 : 0] dpo_map_fifo_depth ,
  81. output reg [15 : 0] dpo_measure_fifo_depth ,
  82. output reg [0 : 0] dpo_pro_reset ,
  83. output reg [0 : 0] dpo_parallel_en ,
  84. output reg [0 : 0] dpx_ram_test_d_ctrl ,
  85. input wire [6 : 0] dpo_state ,
  86. output reg [0 : 0] dpo_time_over ,
  87. output reg [15 : 0] dpo_cnt_screen_max ,
  88. output reg [15 : 0] dpo_test_ctrl ,
  89. output reg [15 : 0] pro_pingpong_cnt_thresh ,
  90. input wire [7 : 0] pro_config_flash_readdata,
  91. output reg [0 : 0] pro_config_flash_readstart,
  92. output reg [7 : 0] pro_config_flash_spiclock_div,
  93. output reg [0 : 0] pro_config_flash_ss ,
  94. output reg [7 : 0] pro_config_flash_writedata,
  95. output reg [0 : 0] pro_config_flash_writestart,
  96. input wire [0 : 0] pro_dclk_locked ,
  97. input wire [0 : 0] crystal_clk_locked ,
  98. output reg [1 : 0] afc_factor_ch_sel ,
  99. output reg [15 : 0] afc_factor_wa ,
  100. output reg [15 : 0] afc_factor_wd_l ,
  101. output reg [15 : 0] afc_factor_wd_h ,
  102. output reg [0 : 0] afc_factor_wen ,
  103. output reg [15 : 0] interp_factor_wa ,
  104. output reg [15 : 0] interp_factor_wd_l ,
  105. output reg [15 : 0] interp_factor_wd_h ,
  106. output reg [0 : 0] interp_factor_wen ,
  107. output reg [0 : 0] pro_afc_en ,
  108. output reg [0 : 0] pro_interp_en ,
  109. output reg [0 : 0] dsp_fifo_start ,
  110. input wire [15 : 0] prog_fifo_full_all ,
  111. output reg [15 : 0] pro_fifo_depth ,
  112. output reg [15 : 0] parallel_fifo_threshold ,
  113. input wire [15 : 0] soft_fifo_data_count_h12 ,
  114. input wire [15 : 0] soft_fifo_data_count_l16 ,
  115. input wire [15 : 0] pro_async_fifo_full_flag ,
  116. input wire [15 : 0] pro_regul_fifo_full_flag ,
  117. output reg [15 : 0] inverter_en ,
  118. output reg [15 : 0] clk_source_select ,
  119. output reg [15 : 0] v7_ad5668_ctrl_data_high ,
  120. output reg [15 : 0] v7_ad5668_ctrl_data_low ,
  121. output reg [15 : 0] v7_ad5668start ,
  122. output reg [15 : 0] la_decimation_h16 ,
  123. output reg [15 : 0] la_decimation_l16 ,
  124. output reg [15 : 0] la_decimation_m16 ,
  125. output reg [15 : 0] la_prog_full_thresh_high ,
  126. output reg [15 : 0] la_prog_full_thresh_low ,
  127. output reg [0 : 0] la_gtx_rdy ,
  128. output reg [0 : 0] la_gtx_reset ,
  129. output reg [7 : 0] la_sample_mode ,
  130. output reg [0 : 0] la_ddr_en ,
  131. output reg [2 : 0] v7_la_en ,
  132. output reg [0 : 0] la_soft_reset ,
  133. output reg [1 : 0] la_trig_edge_sel ,
  134. input wire [15 : 0] la_triglocal_lock ,
  135. output reg [15 : 0] la_trig_num ,
  136. output reg [0 : 0] pc_ddr3_ui_rst_n_la ,
  137. output reg [0 : 0] pc_ddr3_fifo_wen ,
  138. output reg [15 : 0] pc_wr_addr_segment_h ,
  139. output reg [15 : 0] pc_wr_addr_segment_l ,
  140. output reg [15 : 0] pc_wr_ctrl_depth_h ,
  141. output reg [15 : 0] pc_wr_ctrl_depth_l ,
  142. output reg [15 : 0] pc_wr_pre_septh_h ,
  143. output reg [15 : 0] pc_wr_pre_septh_l ,
  144. output reg [0 : 0] pc_ddr3_ren_la ,
  145. output reg [15 : 0] pc_rd_length_h ,
  146. output reg [15 : 0] pc_rd_length_l ,
  147. output reg [15 : 0] pc_rd_begin_addr_h ,
  148. output reg [15 : 0] pc_rd_begin_addr_l ,
  149. output reg [15 : 0] pc_rd_segment_begin_addr_h,
  150. output reg [15 : 0] pc_rd_segment_begin_addr_l,
  151. output reg [15 : 0] pc_rd_ctrl_depth_h ,
  152. output reg [15 : 0] pc_rd_ctrl_depth_l ,
  153. input wire [0 : 0] init_calib_complete_la ,
  154. input wire [0 : 0] ddr3_state_2pc_la ,
  155. input wire [0 : 0] wr_stop_flag_2pc_la ,
  156. input wire [0 : 0] rd_stop_flag_2pc_la ,
  157. input wire [15 : 0] ddr3_trig_addr_h_2pc_la ,
  158. input wire [15 : 0] ddr3_trig_addr_l_2pc_la ,
  159. input wire [0 : 0] ddr3_pos_trig_flag_2pc_la,
  160. output reg [0 : 0] pc_mig_sys_rst_n ,
  161. output reg [15 : 0] la_ddr3_pk_decimation ,
  162. output reg [15 : 0] la_ddr3_pk_mode ,
  163. output reg [0 : 0] la_gtrxcdrhold ,
  164. output reg [7 : 0] soft_normal_discard_num ,
  165. output reg [8 : 0] inter_multiple ,
  166. output reg [2 : 0] normal_interpolation_set ,
  167. output reg [15 : 0] trig_module_la_trig_predepth_set_h16,
  168. output reg [15 : 0] trig_module_la_trig_predepth_set_l16,
  169. output reg [15 : 0] trig_module_la_trig_predepth_set_m16,
  170. output reg [0 : 0] la_post_inter_en ,
  171. output reg [15 : 0] pc_wr_pos_depth_h ,
  172. output reg [15 : 0] pc_wr_pos_depth_l ,
  173. output reg [15 : 0] pc_fine_h16 ,
  174. output reg [15 : 0] pc_fine_l16 ,
  175. output reg [7 : 0] ddr_fast_trans_ch_sel ,
  176. output reg [0 : 0] fast_en ,
  177. output reg [0 : 0] pc_ddr_pro_fast_trans_en ,
  178. output reg [13 : 0] pro_data_fd_fifo_empty_thresh,
  179. output reg [13 : 0] pro_data_fd_fifo_full_thresh,
  180. output reg [7 : 0] md8g_pro_data_choose ,
  181. output reg [15 : 0] coefficient_datain_h16 ,
  182. output reg [15 : 0] coefficient_datain_l16 ,
  183. output reg [0 : 0] coefficient_data_wren ,
  184. output reg [0 : 0] fft_config_start ,
  185. output reg [0 : 0] fft_param_dir ,
  186. output reg [4 : 0] fft_param_nfft ,
  187. output reg [13 : 0] fft_param_pointnum ,
  188. output reg [15 : 0] fft_param_scalesch ,
  189. output reg [7 : 0] fft_times ,
  190. output reg [0 : 0] stft_calc_start ,
  191. output reg [3 : 0] stft_data_select ,
  192. output reg [13 : 0] stft_step ,
  193. output reg [7 : 0] acqboardpowerctrl ,
  194. output reg [0 : 0] scan_datacount_latch ,
  195. output reg [14 : 0] scan_datacount_passback ,
  196. input wire [13 : 0] scan_datacount_uploading ,
  197. output reg [0 : 0] pro_scan_enable ,
  198. input wire [3 : 0] pro_iserdes_pll_locked ,
  199. output reg [7 : 0] pro_iserdes_scan_length ,
  200. input wire [14 : 0] pro_iserdes_sync_done ,
  201. output reg [0 : 0] pro_iserdes_sync_en ,
  202. output reg [4 : 0] pro_iserdes_tap_start ,
  203. output reg [4 : 0] pro_iserdes_tap_stop ,
  204. output reg [0 : 0] pro_in_delay_data_ce1 ,
  205. output reg [0 : 0] pro_in_delay_data_ce3 ,
  206. output reg [0 : 0] pro_in_delay_data_ce5 ,
  207. output reg [0 : 0] pro_in_delay_data_ce7 ,
  208. output reg [4 : 0] pro_cntvaluein1 ,
  209. output reg [4 : 0] pro_cntvaluein3 ,
  210. output reg [4 : 0] pro_cntvaluein5 ,
  211. output reg [4 : 0] pro_cntvaluein7 ,
  212. output reg [0 : 0] pro_data_rx_io_reset ,
  213. output reg [0 : 0] pro_in_delay_reset ,
  214. input wire [12 : 0] pro_fpga_temp ,
  215. input wire [12 : 0] pro_fpga_vccaux ,
  216. input wire [12 : 0] pro_fpga_vccbram ,
  217. input wire [12 : 0] pro_fpga_vccint ,
  218. output reg [0 : 0] pro_sysmon_rst ,
  219. output reg [15 : 0] trig_module_trig_auto_en ,
  220. output reg [7 : 0] trig_module_trig_cali_value,
  221. output reg [0 : 0] trig_module_cali_trig_delay_en,
  222. output reg [0 : 0] trig_module_tri_force ,
  223. output reg [15 : 0] trig_module_tri_holdoff_time_h16,
  224. output reg [15 : 0] trig_module_tri_holdoff_time_l16,
  225. input wire [0 : 0] trig_module_triggertimeover,
  226. output reg [15 : 0] trig_module_trig_posdepth_set_h16,
  227. output reg [15 : 0] trig_module_trig_posdepth_set_l16,
  228. output reg [15 : 0] trig_module_trig_posdepth_set_m16,
  229. output reg [15 : 0] trig_module_trig_predepth_set_h16,
  230. output reg [15 : 0] trig_module_trig_predepth_set_l16,
  231. output reg [15 : 0] trig_module_trig_predepth_set_m16,
  232. output reg [0 : 0] trig_module_trig_reset_n ,
  233. output reg [4 : 0] trig_1st_source_sel ,
  234. input wire [2 : 0] trig_module_triggerstatus,
  235. output reg [15 : 0] trig_ext_setting ,
  236. output reg [15 : 0] trig_1st_auto_fast_setting,
  237. output reg [0 : 0] reg_ch_offset_adjust_en ,
  238. output reg [15 : 0] trig_module_trig_predepth_set1_h16,
  239. output reg [15 : 0] trig_module_trig_predepth_set1_l16,
  240. output reg [15 : 0] trig_module_trig_predepth_set1_m16,
  241. output reg [15 : 0] trig_module_trig_predepth_set2_h16,
  242. output reg [15 : 0] trig_module_trig_predepth_set2_l16,
  243. output reg [15 : 0] trig_module_trig_predepth_set2_m16,
  244. output reg [15 : 0] trig_module_trig_predepth_set3_h16,
  245. output reg [15 : 0] trig_module_trig_predepth_set3_l16,
  246. output reg [15 : 0] trig_module_trig_predepth_set3_m16,
  247. input wire [15 : 0] trig_period_read_h ,
  248. input wire [15 : 0] trig_period_read_l ,
  249. input wire [7 : 0] trig_1st_data_para_reg_acq1,
  250. input wire [7 : 0] trig_1st_data_para_reg_acq2,
  251. input wire [7 : 0] trig_1st_data_para_reg_acq3,
  252. input wire [7 : 0] trig_1st_data_para_reg_acq4,
  253. output reg [0 : 0] trig_2nd_auto_trig_en ,
  254. output reg [11 : 0] trig_2nd_cmp1_level_l ,
  255. output reg [11 : 0] trig_2nd_cmp1_level_h ,
  256. output reg [11 : 0] trig_2nd_cmp2_level_l ,
  257. output reg [11 : 0] trig_2nd_cmp2_level_h ,
  258. output reg [15 : 0] trig_2nd_edge_trig_edge_sel,
  259. output reg [15 : 0] trig_2nd_pretrig_depth ,
  260. input wire [6 : 0] trig_2nd_edge_trig_location,
  261. output reg [15 : 0] trig_2nd_auto_trig_num ,
  262. output reg [3 : 0] trig_2nd_serial_trig_en ,
  263. output reg [2 : 0] trig_2nd_trig_source_sel ,
  264. output reg [15 : 0] trig_2nd_trig_type_sel ,
  265. output reg [0 : 0] trig_2nd_search_en ,
  266. input wire [15 : 0] trig_2nd_search_cnt ,
  267. output reg [0 : 0] trig_2nd_ac_dc_setting ,
  268. output reg [2 : 0] trig_com_trig_event_a_source_sel,
  269. output reg [2 : 0] trig_com_trig_event_b_source_sel,
  270. output reg [3 : 0] trig_com_trig_cascade_eventa_source,
  271. output reg [3 : 0] trig_com_trig_cascade_eventb_source,
  272. output reg [0 : 0] trig_com_trig_cascaded_en,
  273. output reg [3 : 0] trig_com_trig_cascade_eventa_type,
  274. output reg [3 : 0] trig_com_trig_cascade_eventb_type,
  275. output reg [15 : 0] trig_com_trig_cascade_delaya_set,
  276. output reg [15 : 0] trig_com_trig_cascade_delayb_set,
  277. output reg [2 : 0] trig_com_code_trig_ctrl_word0,
  278. output reg [15 : 0] trig_com_code_trig_ctrl_word1,
  279. output reg [3 : 0] trig_com_code_code_width_func,
  280. output reg [0 : 0] trig_2nd_trig_dropout_func,
  281. output reg [0 : 0] trig_2nd_trig_dropout_polarity_sel,
  282. output reg [0 : 0] trig_com_trig_ete_capture_polar,
  283. output reg [0 : 0] trig_com_trig_ete_event ,
  284. output reg [0 : 0] trig_com_trig_ete_launch_polar,
  285. output reg [15 : 0] trig_2nd_serial_prog_full_thresh,
  286. output reg [0 : 0] trig_2nd_gli_func_sel ,
  287. output reg [1 : 0] trig_2nd_trig_interval_func,
  288. output reg [0 : 0] trig_2nd_trig_interval_polarity_sel,
  289. output reg [15 : 0] trig_pro_loca_sync_set ,
  290. output reg [15 : 0] trig_pro_loca_sync_set_ext,
  291. input wire [15 : 0] trig_pro_loca_sync_result,
  292. input wire [15 : 0] trig_pro_loca_sync_result_ext,
  293. output reg [0 : 0] trig_pro_local_sync_io_rst,
  294. output reg [15 : 0] trig_pro_local_sync_delay_inc,
  295. output reg [15 : 0] trig_pro_local_sync_delay_ce,
  296. output reg [15 : 0] trig_pro_local_sync_delay_vtc,
  297. output reg [15 : 0] dbi_pro_trig_discard ,
  298. output reg [0 : 0] trig_1st_test_mode_pro_en,
  299. output reg [15 : 0] trig_1st_test_mode_acq_num,
  300. output reg [1 : 0] trig_2nd_trig_pw_func_sel,
  301. output reg [1 : 0] trig_2nd_trig_pw_polarity_sel,
  302. output reg [2 : 0] trig_2nd_runt_func_sel ,
  303. output reg [1 : 0] trig_com_setup_hold_ctrl_word,
  304. output reg [2 : 0] trig_com_trig_slope_func_sel,
  305. output reg [14 : 0] trig_com_state_trig_ctrl_word,
  306. output reg [0 : 0] trig_2nd_trig_timeout_func,
  307. output reg [10 : 0] trig_com_trig_video_custom_horizontal,
  308. output reg [2 : 0] trig_com_trig_video_mode ,
  309. output reg [10 : 0] trig_com_trig_video_sync_number,
  310. output reg [2 : 0] trig_video_tri_mode ,
  311. output reg [0 : 0] trig_2nd_trig_ete_event ,
  312. output reg [15 : 0] trig_2nd_configure_data2_set_2,
  313. output reg [15 : 0] trig_2nd_configure_data2_set_0,
  314. output reg [15 : 0] trig_2nd_configure_data2_set_1,
  315. output reg [15 : 0] trig_2nd_configure_data1_set_2,
  316. output reg [15 : 0] trig_2nd_configure_data1_set_0,
  317. output reg [15 : 0] trig_2nd_configure_data1_set_1,
  318. output reg [2 : 0] trig_2nd_trig_window_func_sel,
  319. output reg [15 : 0] window_width_l ,
  320. output reg [15 : 0] window_width_h ,
  321. output reg [0 : 0] trigger_sync_signal_switch_pro,
  322. output reg [0 : 0] trigger_sync_start_search_pro,
  323. input wire [7 : 0] trigger_sync_sync_flag_trig,
  324. input wire [9 : 0] dbi_frequency_index ,
  325. output reg [15 : 0] dbi_pro_auto_trig_num ,
  326. output reg [15 : 0] trig_2nd_pretrig_depth_interp,
  327. output reg [13 : 0] pro_fifo_depth_dbi_in ,
  328. input wire [15 : 0] dbi_max_amplitude_l16 ,
  329. input wire [7 : 0] dbi_max_amplitude_h8 ,
  330. output reg [15 : 0] iir_badpoint_set ,
  331. output reg [15 : 0] dbi_ch_offset_adjust_ch12,
  332. output reg [15 : 0] dbi_ch_offset_adjust_ch34,
  333. output reg [0 : 0] sel_trig_or_pro_prog_full,
  334. output reg [15 : 0] pro_debug_mode ,
  335. input wire [15 : 0] status_of_clock ,
  336. output reg [15 : 0] ext_10m_sel ,
  337. output reg [15 : 0] sys_resetproacq ,
  338. output reg [15 : 0] la_trig_2nd_pretrig_depth,
  339. output reg [15 : 0] pro_reverse_wr_reg_0 ,
  340. output reg [15 : 0] pro_reverse_wr_reg_1 ,
  341. input wire [15 : 0] pro_reverse_rd_reg_0 ,
  342. input wire [15 : 0] pro_reverse_rd_reg_1 ,
  343. output reg [0 : 0] trig_location_scan_rst ,
  344. output reg [0 : 0] trig_location_scan_switch_pro,
  345. output reg [15 : 0] sync_trig_locat_acq1 ,
  346. output reg [15 : 0] sync_trig_locat_tap_start_acq1,
  347. output reg [15 : 0] sync_trig_locat_tap_stop_acq1,
  348. input wire [1 : 0] sync_trig_locat_flag_acq1,
  349. output reg [15 : 0] sync_trig_locat_acq2 ,
  350. output reg [15 : 0] sync_trig_locat_tap_start_acq2,
  351. output reg [15 : 0] sync_trig_locat_tap_stop_acq2,
  352. input wire [1 : 0] sync_trig_locat_flag_acq2,
  353. output reg [15 : 0] sync_trig_locat_acq3 ,
  354. output reg [15 : 0] sync_trig_locat_tap_start_acq3,
  355. output reg [15 : 0] sync_trig_locat_tap_stop_acq3,
  356. input wire [1 : 0] sync_trig_locat_flag_acq3,
  357. output reg [15 : 0] sync_trig_locat_acq4 ,
  358. output reg [15 : 0] sync_trig_locat_tap_start_acq4,
  359. output reg [15 : 0] sync_trig_locat_tap_stop_acq4,
  360. input wire [1 : 0] sync_trig_locat_flag_acq4,
  361. output reg [0 : 0] fifo_ctrl_scan_rst ,
  362. output reg [0 : 0] fifo_ctrl_scan_switch_pro,
  363. input wire [15 : 0] sync_flash_scan_status_pro,
  364. output reg [15 : 0] sync_trig_acq1 ,
  365. output reg [15 : 0] sync_trig_tap_start_acq1 ,
  366. output reg [15 : 0] sync_trig_tap_stop_acq1 ,
  367. input wire [1 : 0] sync_trig_flag_acq1 ,
  368. output reg [15 : 0] sync_trig_acq2 ,
  369. output reg [15 : 0] sync_trig_tap_start_acq2 ,
  370. output reg [15 : 0] sync_trig_tap_stop_acq2 ,
  371. input wire [1 : 0] sync_trig_flag_acq2 ,
  372. output reg [15 : 0] sync_trig_acq3 ,
  373. output reg [15 : 0] sync_trig_tap_start_acq3 ,
  374. output reg [15 : 0] sync_trig_tap_stop_acq3 ,
  375. input wire [1 : 0] sync_trig_flag_acq3 ,
  376. output reg [15 : 0] sync_trig_acq4 ,
  377. output reg [15 : 0] sync_trig_tap_start_acq4 ,
  378. output reg [15 : 0] sync_trig_tap_stop_acq4 ,
  379. input wire [1 : 0] sync_trig_flag_acq4 ,
  380. input wire [15 : 0] sync_trig_tap_read_acq1 ,
  381. input wire [15 : 0] sync_trig_tap_read_acq2 ,
  382. input wire [15 : 0] sync_trig_tap_read_acq3 ,
  383. input wire [15 : 0] sync_trig_tap_read_acq4 ,
  384. input wire [15 : 0] sync_trig_locat_tap_read_acq1,
  385. input wire [15 : 0] sync_trig_locat_tap_read_acq2,
  386. input wire [15 : 0] sync_trig_locat_tap_read_acq3,
  387. input wire [15 : 0] sync_trig_locat_tap_read_acq4,
  388. output reg [15 : 0] sync_trig_tap_load_set_acq1,
  389. output reg [15 : 0] sync_trig_tap_load_set_acq2,
  390. output reg [15 : 0] sync_trig_tap_load_set_acq3,
  391. output reg [15 : 0] sync_trig_tap_load_set_acq4,
  392. output reg [15 : 0] sync_trig_locat_tap_load_set_acq1,
  393. output reg [15 : 0] sync_trig_locat_tap_load_set_acq2,
  394. output reg [15 : 0] sync_trig_locat_tap_load_set_acq3,
  395. output reg [15 : 0] sync_trig_locat_tap_load_set_acq4,
  396. input wire [15 : 0] sync_trig_tap_read_acq5 ,
  397. input wire [15 : 0] sync_trig_tap_read_acq6 ,
  398. input wire [15 : 0] sync_trig_tap_read_acq7 ,
  399. input wire [15 : 0] sync_trig_tap_read_acq8 ,
  400. input wire [15 : 0] sync_trig_locat_tap_read_acq5,
  401. input wire [15 : 0] sync_trig_locat_tap_read_acq6,
  402. input wire [15 : 0] sync_trig_locat_tap_read_acq7,
  403. input wire [15 : 0] sync_trig_locat_tap_read_acq8,
  404. output reg [15 : 0] sync_trig_tap_load_set_acq5,
  405. output reg [15 : 0] sync_trig_tap_load_set_acq6,
  406. output reg [15 : 0] sync_trig_tap_load_set_acq7,
  407. output reg [15 : 0] sync_trig_tap_load_set_acq8,
  408. output reg [15 : 0] sync_trig_locat_tap_load_set_acq5,
  409. output reg [15 : 0] sync_trig_locat_tap_load_set_acq6,
  410. output reg [15 : 0] sync_trig_locat_tap_load_set_acq7,
  411. output reg [15 : 0] sync_trig_locat_tap_load_set_acq8,
  412. output reg [15 : 0] sync_trig_locat_acq5 ,
  413. output reg [15 : 0] sync_trig_locat_tap_start_acq5,
  414. output reg [15 : 0] sync_trig_locat_tap_stop_acq5,
  415. input wire [1 : 0] sync_trig_locat_flag_acq5,
  416. output reg [15 : 0] sync_trig_locat_acq6 ,
  417. output reg [15 : 0] sync_trig_locat_tap_start_acq6,
  418. output reg [15 : 0] sync_trig_locat_tap_stop_acq6,
  419. input wire [1 : 0] sync_trig_locat_flag_acq6,
  420. output reg [15 : 0] sync_trig_locat_acq7 ,
  421. output reg [15 : 0] sync_trig_locat_tap_start_acq7,
  422. output reg [15 : 0] sync_trig_locat_tap_stop_acq7,
  423. input wire [1 : 0] sync_trig_locat_flag_acq7,
  424. output reg [15 : 0] sync_trig_locat_acq8 ,
  425. output reg [15 : 0] sync_trig_locat_tap_start_acq8,
  426. output reg [15 : 0] sync_trig_locat_tap_stop_acq8,
  427. input wire [1 : 0] sync_trig_locat_flag_acq8,
  428. output reg [15 : 0] dcm_rst_readback_tap ,
  429. output reg [0 : 0] pc_search_pro_en ,
  430. output reg [15 : 0] pc_search_data_numl16 ,
  431. output reg [15 : 0] pc_search_data_numh16 ,
  432. output reg [15 : 0] pc_search_point_num ,
  433. output reg [0 : 0] pc_read_en ,
  434. input wire [0 : 0] search_fifo_rd_finish ,
  435. input wire [0 : 0] search_finish_flag ,
  436. input wire [1 : 0] search_finish_state ,
  437. input wire [14 : 0] search_stamp ,
  438. input wire [11 : 0] stamp_num ,
  439. output reg [10 : 0] pc_search_type ,
  440. output reg [2 : 0] pc_search_source_sel ,
  441. output reg [0 : 0] pc_search_edge_sel ,
  442. output reg [3 : 0] pc_search_pw_set ,
  443. output reg [2 : 0] pc_search_window_set ,
  444. output reg [2 : 0] pc_search_runt_set ,
  445. output reg [2 : 0] pc_search_slope_set ,
  446. output reg [0 : 0] pc_search_timeout_set ,
  447. output reg [0 : 0] pc_search_dropout_set ,
  448. output reg [11 : 0] pc_seaech_cmp1_level_l ,
  449. output reg [11 : 0] pc_seaech_cmp1_level_h ,
  450. output reg [11 : 0] pc_seaech_cmp2_level_l ,
  451. output reg [11 : 0] pc_seaech_cmp2_level_h ,
  452. output reg [15 : 0] pc_search_configure_data1_setl,
  453. output reg [15 : 0] pc_search_configure_data1_setm,
  454. output reg [15 : 0] pc_search_configure_data1_seth,
  455. output reg [15 : 0] pc_search_configure_data2_setl,
  456. output reg [15 : 0] pc_search_configure_data2_setm,
  457. output reg [15 : 0] pc_search_configure_data2_seth,
  458. output reg [0 : 0] pro_ddr_rcd_rst_en ,
  459. output reg [15 : 0] trig_1st_pro_exclude_width1_l,
  460. output reg [15 : 0] trig_1st_pro_exclude_width1_h,
  461. output reg [15 : 0] trig_1st_pro_exclude_width2_l,
  462. output reg [15 : 0] trig_1st_pro_exclude_width2_h,
  463. output reg [15 : 0] trig_2nd_pro_exclude_width1_l,
  464. output reg [15 : 0] trig_2nd_pro_exclude_width1_h,
  465. output reg [15 : 0] trig_2nd_pro_exclude_width2_l,
  466. output reg [15 : 0] trig_2nd_pro_exclude_width2_h,
  467. //-------------------------------------------------------------------
  468. //寄存器硬件接口
  469. //-------------------------------------------------------------------
  470. input cmd_clk ,
  471. input cmd_iowr_en ,
  472. input cmd_iord_en ,
  473. input [8:0] cmd_addr ,
  474. input [15:0] cmd_iowr_d ,
  475. output reg [15:0] cmd_iord_d
  476. );
  477. //-------------------------------------------------------------------
  478. //寄存器定义
  479. //-------------------------------------------------------------------
  480. //读回下发的写寄存器
  481. reg [15:0] pro_read_wreg_data ;
  482. reg [15:0] pro_read_wreg_addr ;
  483. //上电回读寄存器
  484. reg [15:0] pro_reg_read_back ;
  485. //-------------------------------------------------------------------
  486. //版本信息线网
  487. //-------------------------------------------------------------------
  488. wire [15:0] pro_version_time_word0 ;
  489. wire [15:0] pro_version_time_word1 ;
  490. wire [15:0] pro_version_version_word0 ;
  491. wire [15:0] pro_version_version_word1 ;
  492. wire [15:0] pro_version_designer_word0 ;
  493. wire [15:0] pro_version_designer_word1 ;
  494. wire [15:0] pro_version_designer_word2 ;
  495. wire [15:0] pro_version_designer_word3 ;
  496. wire [15:0] pro_version_comment_word0 ;
  497. wire [15:0] pro_version_comment_word1 ;
  498. wire [15:0] pro_version_comment_word2 ;
  499. wire [15:0] pro_version_comment_word3 ;
  500. wire [15:0] pro_version_comment_word4 ;
  501. wire [15:0] pro_version_comment_word5 ;
  502. wire [15:0] pro_version_comment_word6 ;
  503. wire [15:0] pro_version_comment_word7 ;
  504. wire [15:0] pro_version_comment_word8 ;
  505. wire [15:0] pro_version_comment_word9 ;
  506. wire [15:0] pro_version_comment_word10 ;
  507. wire [15:0] pro_version_comment_word11 ;
  508. wire [15:0] pro_version_comment_word12 ;
  509. wire [15:0] pro_version_comment_word13 ;
  510. wire [15:0] pro_version_comment_word14 ;
  511. wire [15:0] pro_version_comment_word15 ;
  512. assign pro_version_time_word0 = (`COMPILE_TIME >> 0*16) & 16'hffff;
  513. assign pro_version_time_word1 = (`COMPILE_TIME >> 1*16) & 16'hffff;
  514. assign pro_version_version_word0 = (`VERSION_INFO >> 0*16) & 16'hffff;
  515. assign pro_version_version_word1 = (`VERSION_INFO >> 1*16) & 16'hffff;
  516. assign pro_version_designer_word0 = (`DESIGNER_INFO >> 0*16) & 16'hffff;
  517. assign pro_version_designer_word1 = (`DESIGNER_INFO >> 1*16) & 16'hffff;
  518. assign pro_version_designer_word2 = (`DESIGNER_INFO >> 2*16) & 16'hffff;
  519. assign pro_version_designer_word3 = (`DESIGNER_INFO >> 3*16) & 16'hffff;
  520. assign pro_version_comment_word0 = (`COMMENT_INFO >> 0*16) & 16'hffff;
  521. assign pro_version_comment_word1 = (`COMMENT_INFO >> 1*16) & 16'hffff;
  522. assign pro_version_comment_word2 = (`COMMENT_INFO >> 2*16) & 16'hffff;
  523. assign pro_version_comment_word3 = (`COMMENT_INFO >> 3*16) & 16'hffff;
  524. assign pro_version_comment_word4 = (`COMMENT_INFO >> 4*16) & 16'hffff;
  525. assign pro_version_comment_word5 = (`COMMENT_INFO >> 5*16) & 16'hffff;
  526. assign pro_version_comment_word6 = (`COMMENT_INFO >> 6*16) & 16'hffff;
  527. assign pro_version_comment_word7 = (`COMMENT_INFO >> 7*16) & 16'hffff;
  528. assign pro_version_comment_word8 = (`COMMENT_INFO >> 8*16) & 16'hffff;
  529. assign pro_version_comment_word9 = (`COMMENT_INFO >> 9*16) & 16'hffff;
  530. assign pro_version_comment_word10 = (`COMMENT_INFO >> 10*16) & 16'hffff;
  531. assign pro_version_comment_word11 = (`COMMENT_INFO >> 11*16) & 16'hffff;
  532. assign pro_version_comment_word12 = (`COMMENT_INFO >> 12*16) & 16'hffff;
  533. assign pro_version_comment_word13 = (`COMMENT_INFO >> 13*16) & 16'hffff;
  534. assign pro_version_comment_word14 = (`COMMENT_INFO >> 14*16) & 16'hffff;
  535. assign pro_version_comment_word15 = (`COMMENT_INFO >> 15*16) & 16'hffff;
  536. //-------------------------------------------------------------------
  537. //寄存器写使能打拍
  538. //-------------------------------------------------------------------
  539. reg dsp_iowr_en_dly ;
  540. always @ (posedge cmd_clk)
  541. begin
  542. dsp_iowr_en_dly<= cmd_iowr_en;
  543. end
  544. //-------------------------------------------------------------------
  545. //寄存器写使能打拍
  546. //-------------------------------------------------------------------
  547. reg dsp_iord_en_dly ;
  548. always @ (posedge cmd_clk)
  549. begin
  550. dsp_iord_en_dly <= cmd_iord_en;
  551. end
  552. //-------------------------------------------------------------------
  553. //用户寄存器分组定义
  554. //-------------------------------------------------------------------
  555. //此处自动追加用户寄存器分组定义
  556. // @INSERT_GROUP_FLAG
  557. //@apireg:group:begin
  558. //@apireg:group:title Average
  559. //@apireg:group:software:name Average
  560. //@apireg:group:end
  561. //@apireg:group:begin
  562. //@apireg:group:title Awg
  563. //@apireg:group:software:name Awg
  564. //@apireg:group:end
  565. //@apireg:group:begin
  566. //@apireg:group:title DBI
  567. //@apireg:group:software:name DBI
  568. //@apireg:group:end
  569. //@apireg:group:begin
  570. //@apireg:group:title DCM_CTRL
  571. //@apireg:group:software:name DCM_CTRL
  572. //@apireg:group:end
  573. //@apireg:group:begin
  574. //@apireg:group:title Data2Pcie
  575. //@apireg:group:software:name Data2Pcie
  576. //@apireg:group:end
  577. //@apireg:group:begin
  578. //@apireg:group:title DataPath
  579. //@apireg:group:software:name DataPath
  580. //@apireg:group:end
  581. //@apireg:group:begin
  582. //@apireg:group:title Decoder
  583. //@apireg:group:software:name Decoder
  584. //@apireg:group:end
  585. //@apireg:group:begin
  586. //@apireg:group:title Dpo
  587. //@apireg:group:software:name Dpo
  588. //@apireg:group:end
  589. //@apireg:group:begin
  590. //@apireg:group:title FPGAFlashUpdater
  591. //@apireg:group:software:name FPGAFlashUpdater
  592. //@apireg:group:end
  593. //@apireg:group:begin
  594. //@apireg:group:title FPGA_PLL_STATE
  595. //@apireg:group:software:name FPGA_PLL_STATE
  596. //@apireg:group:end
  597. //@apireg:group:begin
  598. //@apireg:group:title FREQ_DETECTION
  599. //@apireg:group:software:name FREQ_DETECTION
  600. //@apireg:group:end
  601. //@apireg:group:begin
  602. //@apireg:group:title FifoCtrl
  603. //@apireg:group:software:name FifoCtrl
  604. //@apireg:group:end
  605. //@apireg:group:begin
  606. //@apireg:group:title Inverter
  607. //@apireg:group:software:name Inverter
  608. //@apireg:group:end
  609. //@apireg:group:begin
  610. //@apireg:group:title IoCtrl
  611. //@apireg:group:software:name IoCtrl
  612. //@apireg:group:end
  613. //@apireg:group:begin
  614. //@apireg:group:title LA
  615. //@apireg:group:software:name LA
  616. //@apireg:group:end
  617. //@apireg:group:begin
  618. //@apireg:group:title LSCtrl
  619. //@apireg:group:software:name LSCtrl
  620. //@apireg:group:end
  621. //@apireg:group:begin
  622. //@apireg:group:title MDO
  623. //@apireg:group:software:name MDO
  624. //@apireg:group:end
  625. //@apireg:group:begin
  626. //@apireg:group:parent MDO
  627. //@apireg:group:title FIFO
  628. //@apireg:group:software:name FIFO
  629. //@apireg:group:end
  630. //@apireg:group:begin
  631. //@apireg:group:parent MDO
  632. //@apireg:group:title STFT
  633. //@apireg:group:software:name STFT
  634. //@apireg:group:end
  635. //@apireg:group:begin
  636. //@apireg:group:title PowerManager
  637. //@apireg:group:software:name PowerManager
  638. //@apireg:group:end
  639. //@apireg:group:begin
  640. //@apireg:group:title RegMonitor
  641. //@apireg:group:software:name RegMonitor
  642. //@apireg:group:end
  643. //@apireg:group:begin
  644. //@apireg:group:title Scan
  645. //@apireg:group:software:name Scan
  646. //@apireg:group:end
  647. //@apireg:group:begin
  648. //@apireg:group:title SerdesSync
  649. //@apireg:group:software:name SerdesSync
  650. //@apireg:group:end
  651. //@apireg:group:begin
  652. //@apireg:group:title SyncDataRxIDelay
  653. //@apireg:group:software:name SyncDataRxIDelay
  654. //@apireg:group:end
  655. //@apireg:group:begin
  656. //@apireg:group:title SysInfo
  657. //@apireg:group:software:name SysInfo
  658. //@apireg:group:end
  659. //@apireg:group:begin
  660. //@apireg:group:title SysMon
  661. //@apireg:group:software:name SysMon
  662. //@apireg:group:end
  663. //@apireg:group:begin
  664. //@apireg:group:title TrigCtrl
  665. //@apireg:group:software:name TrigCtrl
  666. //@apireg:group:end
  667. //@apireg:group:begin
  668. //@apireg:group:parent TrigCtrl
  669. //@apireg:group:title 1st
  670. //@apireg:group:software:name 1st
  671. //@apireg:group:end
  672. //@apireg:group:begin
  673. //@apireg:group:parent TrigCtrl
  674. //@apireg:group:title 2nd
  675. //@apireg:group:software:name 2nd
  676. //@apireg:group:end
  677. //@apireg:group:begin
  678. //@apireg:group:parent TrigCtrl
  679. //@apireg:group:title ASourceSel
  680. //@apireg:group:software:name ASourceSel
  681. //@apireg:group:end
  682. //@apireg:group:begin
  683. //@apireg:group:parent TrigCtrl
  684. //@apireg:group:title BSourceSel
  685. //@apireg:group:software:name BSourceSel
  686. //@apireg:group:end
  687. //@apireg:group:begin
  688. //@apireg:group:parent TrigCtrl
  689. //@apireg:group:title Cascaded
  690. //@apireg:group:software:name Cascaded
  691. //@apireg:group:end
  692. //@apireg:group:begin
  693. //@apireg:group:parent TrigCtrl
  694. //@apireg:group:title Code
  695. //@apireg:group:software:name Code
  696. //@apireg:group:end
  697. //@apireg:group:begin
  698. //@apireg:group:parent TrigCtrl
  699. //@apireg:group:title Dropout
  700. //@apireg:group:software:name Dropout
  701. //@apireg:group:end
  702. //@apireg:group:begin
  703. //@apireg:group:parent TrigCtrl
  704. //@apireg:group:title EdgeThenEdge
  705. //@apireg:group:software:name EdgeThenEdge
  706. //@apireg:group:end
  707. //@apireg:group:begin
  708. //@apireg:group:parent TrigCtrl
  709. //@apireg:group:title Fifo
  710. //@apireg:group:software:name Fifo
  711. //@apireg:group:end
  712. //@apireg:group:begin
  713. //@apireg:group:parent TrigCtrl
  714. //@apireg:group:title Glitch
  715. //@apireg:group:software:name Glitch
  716. //@apireg:group:end
  717. //@apireg:group:begin
  718. //@apireg:group:parent TrigCtrl
  719. //@apireg:group:title Interval
  720. //@apireg:group:software:name Interval
  721. //@apireg:group:end
  722. //@apireg:group:begin
  723. //@apireg:group:parent TrigCtrl
  724. //@apireg:group:title Location
  725. //@apireg:group:software:name Location
  726. //@apireg:group:end
  727. //@apireg:group:begin
  728. //@apireg:group:parent TrigCtrl
  729. //@apireg:group:title PulseWidth
  730. //@apireg:group:software:name PulseWidth
  731. //@apireg:group:end
  732. //@apireg:group:begin
  733. //@apireg:group:parent TrigCtrl
  734. //@apireg:group:title Runt
  735. //@apireg:group:software:name Runt
  736. //@apireg:group:end
  737. //@apireg:group:begin
  738. //@apireg:group:parent TrigCtrl
  739. //@apireg:group:title Setuphold
  740. //@apireg:group:software:name Setuphold
  741. //@apireg:group:end
  742. //@apireg:group:begin
  743. //@apireg:group:parent TrigCtrl
  744. //@apireg:group:title Slope
  745. //@apireg:group:software:name Slope
  746. //@apireg:group:end
  747. //@apireg:group:begin
  748. //@apireg:group:parent TrigCtrl
  749. //@apireg:group:title State
  750. //@apireg:group:software:name State
  751. //@apireg:group:end
  752. //@apireg:group:begin
  753. //@apireg:group:parent TrigCtrl
  754. //@apireg:group:title Timeout
  755. //@apireg:group:software:name Timeout
  756. //@apireg:group:end
  757. //@apireg:group:begin
  758. //@apireg:group:parent TrigCtrl
  759. //@apireg:group:title Video
  760. //@apireg:group:software:name Video
  761. //@apireg:group:end
  762. //@apireg:group:begin
  763. //@apireg:group:parent TrigCtrl
  764. //@apireg:group:title WidthSet
  765. //@apireg:group:software:name WidthSet
  766. //@apireg:group:end
  767. //@apireg:group:begin
  768. //@apireg:group:parent TrigCtrl
  769. //@apireg:group:title Window
  770. //@apireg:group:software:name Window
  771. //@apireg:group:end
  772. //@apireg:group:begin
  773. //@apireg:group:title TriggerSync
  774. //@apireg:group:software:name TriggerSync
  775. //@apireg:group:end
  776. //@apireg:group:begin
  777. //@apireg:group:title VersionInfo
  778. //@apireg:group:software:name VersionInfo
  779. //@apireg:group:end
  780. //@apireg:group:begin
  781. //@apireg:group:title dbi
  782. //@apireg:group:software:name dbi
  783. //@apireg:group:end
  784. //@apireg:group:begin
  785. //@apireg:group:title debug
  786. //@apireg:group:software:name debug
  787. //@apireg:group:end
  788. //@apireg:group:begin
  789. //@apireg:group:title ext_10m
  790. //@apireg:group:software:name ext_10m
  791. //@apireg:group:end
  792. //@apireg:group:begin
  793. //@apireg:group:title fifoCtrl
  794. //@apireg:group:software:name fifoCtrl
  795. //@apireg:group:end
  796. //@apireg:group:begin
  797. //@apireg:group:title la
  798. //@apireg:group:software:name la
  799. //@apireg:group:end
  800. //@apireg:group:begin
  801. //@apireg:group:title reverse
  802. //@apireg:group:software:name reverse
  803. //@apireg:group:end
  804. //@apireg:group:begin
  805. //@apireg:group:title scan_sync
  806. //@apireg:group:software:name scan_sync
  807. //@apireg:group:end
  808. //@apireg:group:begin
  809. //@apireg:group:title search
  810. //@apireg:group:software:name search
  811. //@apireg:group:end
  812. //@apireg:group:begin
  813. //@apireg:group:title seg
  814. //@apireg:group:software:name seg
  815. //@apireg:group:end
  816. //@apireg:group:begin
  817. //@apireg:group:title trig_exclude
  818. //@apireg:group:software:name trig_exclude
  819. //@apireg:group:end
  820. //@apireg:doc:file ./处理板原理图.png
  821. ////////////////////////////////////////////////////////////////////////////////
  822. //写寄存器
  823. ////////////////////////////////////////////////////////////////////////////////
  824. always @ (posedge cmd_clk)
  825. begin
  826. //@apireg:write_read_attribute:attribute write
  827. if(dsp_iowr_en_dly == 1'b1 )
  828. case(cmd_addr[8:0])
  829. //-------------------------------------------------------------------
  830. //用户寄存器接口
  831. //-------------------------------------------------------------------
  832. //此处自动追加用户寄存器定义
  833. //@INSERT_WR_REG_FLAG
  834. //@apireg:group:title Average
  835. //@apireg:title AVERAGE_ENABLE
  836. //@apireg:software:name Enable
  837. //@apireg:value:appoint bit-width:1 ; 使能平均功能
  838. //@apireg:desc abs-addr:0X8A88; none
  839. //@apireg:note reg_hw_name:average_enable
  840. //@apireg:0xaddr 0X8800 | (((0XA2&0XFF) << 2) | ((0XA2&0X100) << 6))
  841. 9'H0A2 : average_enable <= cmd_iowr_d[0:0];
  842. //@apireg:group:title Average
  843. //@apireg:title AVERAGE_RAM_RESET
  844. //@apireg:software:name RamReset
  845. //@apireg:value:appoint bit-width:1 ; 平均模块内部ram缓冲区清除,相当于重新开始平均
  846. //@apireg:desc abs-addr:0X8A8C; none
  847. //@apireg:note reg_hw_name:average_ram_reset
  848. //@apireg:0xaddr 0X8800 | (((0XA3&0XFF) << 2) | ((0XA3&0X100) << 6))
  849. 9'H0A3 : average_ram_reset <= cmd_iowr_d[0:0];
  850. //@apireg:group:title Average
  851. //@apireg:title AVERAGE_NUMBER
  852. //@apireg:software:name Number
  853. //@apireg:value:appoint bit-width:8 ; 平均次数,多少帧波形数据进行平均
  854. //@apireg:desc abs-addr:0X8A90; none
  855. //@apireg:note reg_hw_name:average_number
  856. //@apireg:0xaddr 0X8800 | (((0XA4&0XFF) << 2) | ((0XA4&0X100) << 6))
  857. 9'H0A4 : average_number <= cmd_iowr_d[7:0];
  858. //@apireg:group:title Average
  859. //@apireg:title AVERAGE_ADDR_INIT
  860. //@apireg:software:name AddrInit
  861. //@apireg:value:appoint bit-width:16 ; 平均模块内部ram缓冲区起始地址,默认设置为0
  862. //@apireg:desc abs-addr:0X8A94; none
  863. //@apireg:note reg_hw_name:average_addr_init
  864. //@apireg:0xaddr 0X8800 | (((0XA5&0XFF) << 2) | ((0XA5&0X100) << 6))
  865. 9'H0A5 : average_addr_init <= cmd_iowr_d[15:0];
  866. //@apireg:group:title Average
  867. //@apireg:title AVERAGE_ADDR_REGION
  868. //@apireg:software:name AddrRegion
  869. //@apireg:value:appoint bit-width:16 ; 平均模块内部ram缓冲区最大地址,默认设置为平均的样点数
  870. //@apireg:desc abs-addr:0X8A98; none
  871. //@apireg:note reg_hw_name:average_addr_region
  872. //@apireg:0xaddr 0X8800 | (((0XA6&0XFF) << 2) | ((0XA6&0X100) << 6))
  873. 9'H0A6 : average_addr_region <= cmd_iowr_d[15:0];
  874. //@apireg:group:title Average
  875. //@apireg:title AVERAGE_ADDR_OVER_DLY_NUM
  876. //@apireg:software:name average_addr_over_dly_num
  877. //@apireg:value:appoint bit-width:16 ; ??平均次数??
  878. //@apireg:desc abs-addr:0X8B38; none
  879. //@apireg:note reg_hw_name:average_addr_over_dly_num
  880. //@apireg:0xaddr 0X8800 | (((0XCE&0XFF) << 2) | ((0XCE&0X100) << 6))
  881. 9'H0CE : average_addr_over_dly_num <= cmd_iowr_d[15:0];
  882. //@apireg:group:title Awg
  883. //@apireg:title AWG_ADDR_CTRL
  884. //@apireg:software:name awg_addr_ctrl
  885. //@apireg:value:appoint bit-width:10 ; 低8bit是地址; ; 第9bit是cs,控制写入:置1时写入,置0时不发送; ; 第10bit是data_en:0是写寄存器,1是写数据
  886. //@apireg:desc abs-addr:0X8BB4; none
  887. //@apireg:note reg_hw_name:awg_addr_ctrl
  888. //@apireg:0xaddr 0X8800 | (((0XED&0XFF) << 2) | ((0XED&0X100) << 6))
  889. 9'H0ED : awg_addr_ctrl <= cmd_iowr_d[9:0];
  890. //@apireg:group:title Awg
  891. //@apireg:title AWG_DATA_IN
  892. //@apireg:software:name awg_data_in
  893. //@apireg:value:appoint bit-width:16 ; data_en为0时,只发送低8bit的数据 ; data_en为1时,16bit的数据全部发送
  894. //@apireg:desc abs-addr:0X8BB8; 16bit的数据发送时,发送的是什么值?,,,,
  895. //@apireg:note reg_hw_name:awg_data_in
  896. //@apireg:0xaddr 0X8800 | (((0XEE&0XFF) << 2) | ((0XEE&0X100) << 6))
  897. 9'H0EE : awg_data_in <= cmd_iowr_d[15:0];
  898. //@apireg:group:title Awg
  899. //@apireg:title AWG_WR_CTRL
  900. //@apireg:software:name awg_wr_ctrl
  901. //@apireg:value:appoint bit-width:2 ; bit0是写使能:上升沿写入 ; bit1是读使能:上升沿读取 ; 两个bit不能同时有效
  902. //@apireg:desc abs-addr:0X8BBC; none
  903. //@apireg:note reg_hw_name:awg_wr_ctrl
  904. //@apireg:0xaddr 0X8800 | (((0XEF&0XFF) << 2) | ((0XEF&0X100) << 6))
  905. 9'H0EF : awg_wr_ctrl <= cmd_iowr_d[1:0];
  906. //@apireg:group:title DBI
  907. //@apireg:title DBI_MODULE_EN
  908. //@apireg:software:name ProDbiModuleEn
  909. //@apireg:value:appoint bit-width:5 ; 处理板dbi模块开关使能3bit
  910. //@apireg:desc abs-addr:0X8818; bit2: 代表拼合模块有效 ; bit1:代表幅频补偿模块有效; ; bit0:代表相频补偿模块有效; ; [000]:代表处理板DBI各模块不工作,,,,
  911. //@apireg:note reg_hw_name:dbi_module_en
  912. //@apireg:0xaddr 0X8800 | (((0X06&0XFF) << 2) | ((0X06&0X100) << 6))
  913. 9'H006 : dbi_module_en <= cmd_iowr_d[4:0];
  914. //@apireg:group:title DBI
  915. //@apireg:title PRO_FACTOR_SEL
  916. //@apireg:software:name ProFactorSelect
  917. //@apireg:value:appoint bit-width:2 ; 处理板dbi模块系数的选择
  918. //@apireg:desc abs-addr:0X881C; none
  919. //@apireg:note reg_hw_name:pro_factor_sel
  920. //@apireg:0xaddr 0X8800 | (((0X07&0XFF) << 2) | ((0X07&0X100) << 6))
  921. 9'H007 : pro_factor_sel <= cmd_iowr_d[1:0];
  922. //@apireg:group:title DBI
  923. //@apireg:title PRO_FACTOR_WA
  924. //@apireg:software:name ProFactorWa
  925. //@apireg:value:appoint bit-width:16 ; 处理板dbi模块系数的写地址
  926. //@apireg:desc abs-addr:0X8820; none
  927. //@apireg:note reg_hw_name:pro_factor_wa
  928. //@apireg:0xaddr 0X8800 | (((0X08&0XFF) << 2) | ((0X08&0X100) << 6))
  929. 9'H008 : pro_factor_wa <= cmd_iowr_d[15:0];
  930. //@apireg:group:title DBI
  931. //@apireg:title PRO_FACTOR_WD_HIGH
  932. //@apireg:software:name ProFactorWdHigh
  933. //@apireg:value:appoint bit-width:2 ; 处理板dbi模块系数的写地址[17:0]
  934. //@apireg:desc abs-addr:0X8824; none
  935. //@apireg:note reg_hw_name:pro_factor_wd_high
  936. //@apireg:0xaddr 0X8800 | (((0X09&0XFF) << 2) | ((0X09&0X100) << 6))
  937. 9'H009 : pro_factor_wd_high <= cmd_iowr_d[1:0];
  938. //@apireg:group:title DBI
  939. //@apireg:title PRO_FACTOR_WD_LOW
  940. //@apireg:software:name ProFactorWdLow
  941. //@apireg:value:appoint bit-width:16 ; 处理板dbi模块系数的写数据[15:0]
  942. //@apireg:desc abs-addr:0X8828; none
  943. //@apireg:note reg_hw_name:pro_factor_wd_low
  944. //@apireg:0xaddr 0X8800 | (((0X0A&0XFF) << 2) | ((0X0A&0X100) << 6))
  945. 9'H00A : pro_factor_wd_low <= cmd_iowr_d[15:0];
  946. //@apireg:group:title DBI
  947. //@apireg:title PRO_FACTOR_WEN
  948. //@apireg:software:name ProFactorWen
  949. //@apireg:value:appoint bit-width:1 ; 处理板dbi模块系数的写使能
  950. //@apireg:desc abs-addr:0X882C; none
  951. //@apireg:note reg_hw_name:pro_factor_wen
  952. //@apireg:0xaddr 0X8800 | (((0X0B&0XFF) << 2) | ((0X0B&0X100) << 6))
  953. 9'H00B : pro_factor_wen <= cmd_iowr_d[0:0];
  954. //@apireg:group:title DBI
  955. //@apireg:title DBI_MULT_FACTOR_WA
  956. //@apireg:software:name ProMultiFactorWa
  957. //@apireg:value:appoint bit-width:12 ; 10bits,num_of_data
  958. //@apireg:desc abs-addr:0X8830; 连续自然数,,,,
  959. //@apireg:note reg_hw_name:dbi_mult_factor_wa
  960. //@apireg:0xaddr 0X8800 | (((0X0C&0XFF) << 2) | ((0X0C&0X100) << 6))
  961. 9'H00C : dbi_mult_factor_wa <= cmd_iowr_d[11:0];
  962. //@apireg:group:title DBI
  963. //@apireg:title DBI_MULT_FACTOR_WD_HIGH
  964. //@apireg:software:name ProMultiFactorWdHigh
  965. //@apireg:value:appoint bit-width:1 ; msb_of_17bits
  966. //@apireg:desc abs-addr:0X8834; 插值滤波器系数,最高位,,,,
  967. //@apireg:note reg_hw_name:dbi_mult_factor_wd_high
  968. //@apireg:0xaddr 0X8800 | (((0X0D&0XFF) << 2) | ((0X0D&0X100) << 6))
  969. 9'H00D : dbi_mult_factor_wd_high <= cmd_iowr_d[0:0];
  970. //@apireg:group:title DBI
  971. //@apireg:title DBI_MULT_FACTOR_WD_LOW
  972. //@apireg:software:name ProMultiFactorWdLow
  973. //@apireg:value:appoint bit-width:16 ; low_16bits_of_17bits
  974. //@apireg:desc abs-addr:0X8838; 插值滤波器系数,低16位,,,,
  975. //@apireg:note reg_hw_name:dbi_mult_factor_wd_low
  976. //@apireg:0xaddr 0X8800 | (((0X0E&0XFF) << 2) | ((0X0E&0X100) << 6))
  977. 9'H00E : dbi_mult_factor_wd_low <= cmd_iowr_d[15:0];
  978. //@apireg:group:title DBI
  979. //@apireg:title DBI_MULT_FACTOR_WEN
  980. //@apireg:software:name ProMultiFactorWen
  981. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  982. //@apireg:desc abs-addr:0X883C; 先发送数据,然后拉高使能完成一次系数发送,每次发系数前拉低,发完系数拉高,,,,
  983. //@apireg:note reg_hw_name:dbi_mult_factor_wen
  984. //@apireg:0xaddr 0X8800 | (((0X0F&0XFF) << 2) | ((0X0F&0X100) << 6))
  985. 9'H00F : dbi_mult_factor_wen <= cmd_iowr_d[0:0];
  986. //@apireg:group:title DBI
  987. //@apireg:title DBI_MULT_INTER_EN
  988. //@apireg:software:name ProMultiInterEn
  989. //@apireg:value:appoint bit-width:1 ; 1bit, active high
  990. //@apireg:desc abs-addr:0X8840; 等于1时打开插值,等于0时关闭插值,,,,
  991. //@apireg:note reg_hw_name:dbi_mult_inter_en
  992. //@apireg:0xaddr 0X8800 | (((0X10&0XFF) << 2) | ((0X10&0X100) << 6))
  993. 9'H010 : dbi_mult_inter_en <= cmd_iowr_d[0:0];
  994. //@apireg:group:title DBI
  995. //@apireg:title DBI_MULT_INTERP_MUL
  996. //@apireg:software:name ProMultiInterpRate
  997. //@apireg:value:appoint bit-width:16 ; 8bits, num of interpolation rate
  998. //@apireg:desc abs-addr:0X8844; 八位自然数,最大插值倍率为100,所以需要八位位宽 ; 20Gsps模式下的插值倍率:2/4/5/10/20/25/50/100,,,,
  999. //@apireg:note reg_hw_name:dbi_mult_interp_mul
  1000. //@apireg:0xaddr 0X8800 | (((0X11&0XFF) << 2) | ((0X11&0X100) << 6))
  1001. 9'H011 : dbi_mult_interp_mul <= cmd_iowr_d[15:0];
  1002. //@apireg:group:title DBI
  1003. //@apireg:title DBI_MULT_RESET_DSP
  1004. //@apireg:software:name ProMultiResetDsp
  1005. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  1006. //@apireg:desc abs-addr:0X8848; 高有效:等于1时复位, ; 发送系数前复位1次,,,,
  1007. //@apireg:note reg_hw_name:dbi_mult_reset_dsp
  1008. //@apireg:0xaddr 0X8800 | (((0X12&0XFF) << 2) | ((0X12&0X100) << 6))
  1009. 9'H012 : dbi_mult_reset_dsp <= cmd_iowr_d[0:0];
  1010. //@apireg:group:title DBI
  1011. //@apireg:title DEBUG_NUM
  1012. //@apireg:software:name SubDataDebugNum
  1013. //@apireg:value:appoint bit-width:6 ; 2bit;数据接收fifo满控制==dbi单双通道模式
  1014. //@apireg:desc abs-addr:0X884C; [00]:输入四路数据; ; [01]:仅输入第一子带数据; ; [10]:仅输入第一、二子带数据 ; [01]:仅输入第一、二、三子带数据,,,,
  1015. //@apireg:note reg_hw_name:debug_num
  1016. //@apireg:0xaddr 0X8800 | (((0X13&0XFF) << 2) | ((0X13&0X100) << 6))
  1017. 9'H013 : debug_num <= cmd_iowr_d[5:0];
  1018. //@apireg:group:title DBI
  1019. //@apireg:title DBI_INTER_COMP_ZERO
  1020. //@apireg:software:name dbi_inter_comp_zero
  1021. //@apireg:value:appoint bit-width:16 ; dbi software 补0操作 [15]使能 [14:0]计数值
  1022. //@apireg:desc abs-addr:0X8AAC; none
  1023. //@apireg:note reg_hw_name:dbi_inter_comp_zero
  1024. //@apireg:0xaddr 0X8800 | (((0XAB&0XFF) << 2) | ((0XAB&0X100) << 6))
  1025. 9'H0AB : dbi_inter_comp_zero <= cmd_iowr_d[15:0];
  1026. //@apireg:group:title DBI
  1027. //@apireg:title DBI_FACTOR_SELECT_PRO
  1028. //@apireg:software:name DBI_FACTOR_SELSECT_PRO
  1029. //@apireg:value:appoint bit-width:8 ; 处理板选择下发滤波器系数的种类
  1030. //@apireg:desc abs-addr:0X8B8C; 独热码形式,八种滤波器系数,可扩展,,,,
  1031. //@apireg:note reg_hw_name:dbi_factor_select_pro
  1032. //@apireg:0xaddr 0X8800 | (((0XE3&0XFF) << 2) | ((0XE3&0X100) << 6))
  1033. 9'H0E3 : dbi_factor_select_pro <= cmd_iowr_d[7:0];
  1034. //@apireg:group:title DBI
  1035. //@apireg:title DMA_RST_PRO
  1036. //@apireg:software:name DMA_RST_PRO
  1037. //@apireg:value:appoint bit-width:1 ; 处理板dma下发复位信号,更换滤波器系数需要复位
  1038. //@apireg:desc abs-addr:0X8B90; 复位信号,,,,
  1039. //@apireg:note reg_hw_name:dma_rst_pro
  1040. //@apireg:0xaddr 0X8800 | (((0XE4&0XFF) << 2) | ((0XE4&0X100) << 6))
  1041. 9'H0E4 : dma_rst_pro <= cmd_iowr_d[0:0];
  1042. //@apireg:group:title DBI
  1043. //@apireg:title CHANNEL_EN
  1044. //@apireg:software:name channel_en
  1045. //@apireg:value:appoint bit-width:1 ; 通道模式使能
  1046. //@apireg:desc abs-addr:0XC9A8; 通道模式使能,,,,
  1047. //@apireg:note reg_hw_name:channel_en
  1048. //@apireg:0xaddr 0X8800 | (((0X16A&0XFF) << 2) | ((0X16A&0X100) << 6))
  1049. 9'H16A : channel_en <= cmd_iowr_d[0:0];
  1050. //@apireg:group:title DCM_CTRL
  1051. //@apireg:title RST_DCM_CONTROL
  1052. //@apireg:software:name Reset
  1053. //@apireg:value:appoint bit-width:8 ; 8bits,bit2:acq1_7044_sync,bit4:acq2_7044_sync,other bits:no use
  1054. //@apireg:desc abs-addr:0X8850; 第3位,第5位用作采集板7044同步引脚,其余位未使用,,,,
  1055. //@apireg:note reg_hw_name:rst_dcm_control
  1056. //@apireg:0xaddr 0X8800 | (((0X14&0XFF) << 2) | ((0X14&0X100) << 6))
  1057. 9'H014 : rst_dcm_control <= cmd_iowr_d[7:0];
  1058. //@apireg:group:title Data2Pcie
  1059. //@apireg:title DATA_TX_CLK_RESET
  1060. //@apireg:software:name ResetTxClk
  1061. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  1062. //@apireg:desc abs-addr:0X8804; 传输模块ODDR的复位,为高电平时复位,,,,
  1063. //@apireg:note reg_hw_name:data_tx_clk_reset
  1064. //@apireg:0xaddr 0X8800 | (((0X01&0XFF) << 2) | ((0X01&0X100) << 6))
  1065. 9'H001 : data_tx_clk_reset <= cmd_iowr_d[0:0];
  1066. //@apireg:group:title Data2Pcie
  1067. //@apireg:title DATA_TX_IO_RESET
  1068. //@apireg:software:name ResetTxIO
  1069. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  1070. //@apireg:desc abs-addr:0X8808; 传输模块FDRE的复位,为高电平时复位, ; 初始化复位一次,,,,
  1071. //@apireg:note reg_hw_name:data_tx_io_reset
  1072. //@apireg:0xaddr 0X8800 | (((0X02&0XFF) << 2) | ((0X02&0X100) << 6))
  1073. 9'H002 : data_tx_io_reset <= cmd_iowr_d[0:0];
  1074. //@apireg:group:title DataPath
  1075. //@apireg:title PRO_SELECT_ACQ_CHANNEL
  1076. //@apireg:software:name pro_select_acq_channel
  1077. //@apireg:value:appoint bit-width:16 ; 处理板数字信号处理选择数据来自哪个模拟通道,0表示模拟通道1,1表示模拟通道2,以此类推,如果一张采集卡接两个模拟通道,则0/1表示第一张采集卡的数据
  1078. //@apireg:desc abs-addr:0X880C; none
  1079. //@apireg:note reg_hw_name:pro_select_acq_channel
  1080. //@apireg:0xaddr 0X8800 | (((0X03&0XFF) << 2) | ((0X03&0X100) << 6))
  1081. 9'H003 : pro_select_acq_channel <= cmd_iowr_d[15:0];
  1082. //@apireg:group:title DataPath
  1083. //@apireg:title PRO_LINKDEMUX_SELECT
  1084. //@apireg:software:name pro_linkdemux_select
  1085. //@apireg:value:appoint bit-width:3 ; 处理板接受数据后数据解析类型选择: ; 0:正常时域数据; ; 1:dpo映射时域数据; ; 2:协议解码数据; ; 3、频域数据; ; 4、快传数据; ; 5、la数据; ; 默认态:正常时域数据;
  1086. //@apireg:desc abs-addr:0X8810; none
  1087. //@apireg:note reg_hw_name:pro_linkdemux_select
  1088. //@apireg:0xaddr 0X8800 | (((0X04&0XFF) << 2) | ((0X04&0X100) << 6))
  1089. 9'H004 : pro_linkdemux_select <= cmd_iowr_d[2:0];
  1090. //@apireg:group:title DataPath
  1091. //@apireg:title PRO_LINKMUX_SELECT
  1092. //@apireg:software:name pro_linkmux_select
  1093. //@apireg:value:appoint bit-width:3 ; 处理板到pcie数据的数据解析类型选择: ; 0:正常时域数据; ; 1:dpo映射时域数据; ; 2:协议解码数据; ; 3、频域数据; ; 4、快传数据; ; 5、la数据; ; 默认态:正常时域数据;
  1094. //@apireg:desc abs-addr:0X8814; none
  1095. //@apireg:note reg_hw_name:pro_linkmux_select
  1096. //@apireg:0xaddr 0X8800 | (((0X05&0XFF) << 2) | ((0X05&0X100) << 6))
  1097. 9'H005 : pro_linkmux_select <= cmd_iowr_d[2:0];
  1098. //@apireg:group:title Decoder
  1099. //@apireg:title PROTOCOL_FIFO_RAM_SEL
  1100. //@apireg:software:name DataFromFifoOrRam
  1101. //@apireg:value:appoint bit-width:1 ; 1bit 1:fifo 波形数据 0:ram 解码数据
  1102. //@apireg:desc abs-addr:0X8854; 选择传输波形数据或解码包,,,,
  1103. //@apireg:note reg_hw_name:fifo_ram_sel
  1104. //@apireg:0xaddr 0X8800 | (((0X15&0XFF) << 2) | ((0X15&0X100) << 6))
  1105. 9'H015 : fifo_ram_sel <= cmd_iowr_d[0:0];
  1106. //@apireg:group:title Decoder
  1107. //@apireg:title USER_DATA_H
  1108. //@apireg:software:name protocol_user_data_h
  1109. //@apireg:value:appoint bit-width:16 ; 16bits:触发比较值h,现在无论要发多长的比较值,都只用一个16位接口分批次发送
  1110. //@apireg:desc abs-addr:0X8AB4; 如果要发一个48位的值,应该拆成3次发送。先发送低16位值和地址编码4'b0,然后再发送其有效使能user_data_valid_h;发送中16位时应该先拉低有效,再发送值和地址编码4‘b1,然后再拉高有效;然后再拉低有效,发送高16位值和地址编码4'b2,随后拉高有效.发送完毕后,要拉低有效使能。(两个16位值之间至少要间隔4个时钟,当然以软件下发参数的速度来看,肯定可以满足),,,,
  1111. //@apireg:note reg_hw_name:user_data_h
  1112. //@apireg:0xaddr 0X8800 | (((0XAD&0XFF) << 2) | ((0XAD&0X100) << 6))
  1113. 9'H0AD : user_data_h <= cmd_iowr_d[15:0];
  1114. //@apireg:group:title Decoder
  1115. //@apireg:title USER_DATA_L
  1116. //@apireg:software:name protocol_user_data_l
  1117. //@apireg:value:appoint bit-width:16 ; 16bits:触发比较值l,现在无论要发多长的比较值,都只用一个16位接口分批次发送
  1118. //@apireg:desc abs-addr:0X8AB8; 如果要发一个48位的值,应该拆成3次发送。先发送低16位值和地址编码4'b0,然后再发送其有效使能user_data_valid_h;发送中16位时应该先拉低有效,再发送值和地址编码4‘b1,然后再拉高有效;然后再拉低有效,发送高16位值和地址编码4'b2,随后拉高有效.发送完毕后,要拉低有效使能。,,,,
  1119. //@apireg:note reg_hw_name:user_data_l
  1120. //@apireg:0xaddr 0X8800 | (((0XAE&0XFF) << 2) | ((0XAE&0X100) << 6))
  1121. 9'H0AE : user_data_l <= cmd_iowr_d[15:0];
  1122. //@apireg:group:title Decoder
  1123. //@apireg:title USER_DATA_VALID_H
  1124. //@apireg:software:name protocol_user_data_valid_h
  1125. //@apireg:value:appoint bit-width:1 ; 高有效,拉高时硬件会接收user_data_h
  1126. //@apireg:desc abs-addr:0X8ABC; 每次发送user_data_h前拉低,发送后再拉高,,,,
  1127. //@apireg:note reg_hw_name:user_data_valid_h
  1128. //@apireg:0xaddr 0X8800 | (((0XAF&0XFF) << 2) | ((0XAF&0X100) << 6))
  1129. 9'H0AF : user_data_valid_h <= cmd_iowr_d[0:0];
  1130. //@apireg:group:title Decoder
  1131. //@apireg:title USER_DATA_VALID_L
  1132. //@apireg:software:name protocol_user_data_valid_l
  1133. //@apireg:value:appoint bit-width:1 ; 高有效,拉高时硬件会接收user_data_l
  1134. //@apireg:desc abs-addr:0X8AC0; 每次发送user_data_L前拉低,发送后再拉高,,,,
  1135. //@apireg:note reg_hw_name:user_data_valid_l
  1136. //@apireg:0xaddr 0X8800 | (((0XB0&0XFF) << 2) | ((0XB0&0X100) << 6))
  1137. 9'H0B0 : user_data_valid_l <= cmd_iowr_d[0:0];
  1138. //@apireg:group:title Decoder
  1139. //@apireg:title USER_DATA_ADDR_H
  1140. //@apireg:software:name protocol_user_data_addr_h
  1141. //@apireg:value:appoint bit-width:4 ; user_data_h的地址编码,发送的第一个user_data_h地址编码为0,依次递增。
  1142. //@apireg:desc abs-addr:0X8AC4; user_data_h更新时同步刷新,,,,
  1143. //@apireg:note reg_hw_name:user_data_addr_h
  1144. //@apireg:0xaddr 0X8800 | (((0XB1&0XFF) << 2) | ((0XB1&0X100) << 6))
  1145. 9'H0B1 : user_data_addr_h <= cmd_iowr_d[3:0];
  1146. //@apireg:group:title Decoder
  1147. //@apireg:title USER_DATA_ADDR_L
  1148. //@apireg:software:name protocol_user_data_addr_l
  1149. //@apireg:value:appoint bit-width:4 ; user_data_l的地址编码,发送的第一个user_data_l地址编码为0,依次递增。
  1150. //@apireg:desc abs-addr:0X8AC8; user_data_l更新时同步刷新,,,,
  1151. //@apireg:note reg_hw_name:user_data_addr_l
  1152. //@apireg:0xaddr 0X8800 | (((0XB2&0XFF) << 2) | ((0XB2&0X100) << 6))
  1153. 9'H0B2 : user_data_addr_l <= cmd_iowr_d[3:0];
  1154. //@apireg:group:title Decoder
  1155. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B1_L
  1156. //@apireg:software:name SignalSource_B1_L
  1157. //@apireg:value:appoint bit-width:16 ; 16bits解码通道1信号源选择:协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  1158. //@apireg:desc abs-addr:0X8ACC; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  1159. //@apireg:note reg_hw_name:protocol_source_ch_sel_b1_l
  1160. //@apireg:0xaddr 0X8800 | (((0XB3&0XFF) << 2) | ((0XB3&0X100) << 6))
  1161. 9'H0B3 : protocol_source_ch_sel_b1_l <= cmd_iowr_d[15:0];
  1162. //@apireg:group:title Decoder
  1163. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B1_M
  1164. //@apireg:software:name SignalSource_B1_M
  1165. //@apireg:value:appoint bit-width:16 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  1166. //@apireg:desc abs-addr:0X8AD0; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  1167. //@apireg:note reg_hw_name:protocol_source_ch_sel_b1_m
  1168. //@apireg:0xaddr 0X8800 | (((0XB4&0XFF) << 2) | ((0XB4&0X100) << 6))
  1169. 9'H0B4 : protocol_source_ch_sel_b1_m <= cmd_iowr_d[15:0];
  1170. //@apireg:group:title Decoder
  1171. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B1_H
  1172. //@apireg:software:name SignalSource_B1_H
  1173. //@apireg:value:appoint bit-width:4 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  1174. //@apireg:desc abs-addr:0X8AD4; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  1175. //@apireg:note reg_hw_name:protocol_source_ch_sel_b1_h
  1176. //@apireg:0xaddr 0X8800 | (((0XB5&0XFF) << 2) | ((0XB5&0X100) << 6))
  1177. 9'H0B5 : protocol_source_ch_sel_b1_h <= cmd_iowr_d[3:0];
  1178. //@apireg:group:title Decoder
  1179. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B2_L
  1180. //@apireg:software:name SignalSource_B2_L
  1181. //@apireg:value:appoint bit-width:16 ; 16bits解码通道2信号源选择:协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  1182. //@apireg:desc abs-addr:0X8AD8; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  1183. //@apireg:note reg_hw_name:protocol_source_ch_sel_b2_l
  1184. //@apireg:0xaddr 0X8800 | (((0XB6&0XFF) << 2) | ((0XB6&0X100) << 6))
  1185. 9'H0B6 : protocol_source_ch_sel_b2_l <= cmd_iowr_d[15:0];
  1186. //@apireg:group:title Decoder
  1187. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B2_M
  1188. //@apireg:software:name SignalSource_B2_M
  1189. //@apireg:value:appoint bit-width:16 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  1190. //@apireg:desc abs-addr:0X8ADC; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  1191. //@apireg:note reg_hw_name:protocol_source_ch_sel_b2_m
  1192. //@apireg:0xaddr 0X8800 | (((0XB7&0XFF) << 2) | ((0XB7&0X100) << 6))
  1193. 9'H0B7 : protocol_source_ch_sel_b2_m <= cmd_iowr_d[15:0];
  1194. //@apireg:group:title Decoder
  1195. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B2_H
  1196. //@apireg:software:name SignalSource_B2_H
  1197. //@apireg:value:appoint bit-width:4 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  1198. //@apireg:desc abs-addr:0X8AE0; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  1199. //@apireg:note reg_hw_name:protocol_source_ch_sel_b2_h
  1200. //@apireg:0xaddr 0X8800 | (((0XB8&0XFF) << 2) | ((0XB8&0X100) << 6))
  1201. 9'H0B8 : protocol_source_ch_sel_b2_h <= cmd_iowr_d[3:0];
  1202. //@apireg:group:title Decoder
  1203. //@apireg:title PROTOCOL_TYPE_B1
  1204. //@apireg:software:name TypeB1
  1205. //@apireg:value:appoint bit-width:5 ; 解码通道b1所选择的协议类型,最多支持32种协议,具体对应关系请看硬件部分(可以看mso2g)
  1206. //@apireg:desc abs-addr:0X8AE4; 不同解码通道协议选择应该不同,不能同时分析同一种协议。(协议使能一打开就该发送),,,,
  1207. //@apireg:note reg_hw_name:protocol_type_b1
  1208. //@apireg:0xaddr 0X8800 | (((0XB9&0XFF) << 2) | ((0XB9&0X100) << 6))
  1209. 9'H0B9 : protocol_type_b1 <= cmd_iowr_d[4:0];
  1210. //@apireg:group:title Decoder
  1211. //@apireg:title PROTOCOL_TYPE_B2
  1212. //@apireg:software:name TypeB2
  1213. //@apireg:value:appoint bit-width:5 ; 解码通道b2所选择的协议类型,最多支持32种协议,具体对应关系请看硬件部分(可以看mso2g)
  1214. //@apireg:desc abs-addr:0X8AE8; 不同解码通道协议选择应该不同,不能同时分析同一种协议。(协议使能一打开就该发送),,,,
  1215. //@apireg:note reg_hw_name:protocol_type_b2
  1216. //@apireg:0xaddr 0X8800 | (((0XBA&0XFF) << 2) | ((0XBA&0X100) << 6))
  1217. 9'H0BA : protocol_type_b2 <= cmd_iowr_d[4:0];
  1218. //@apireg:group:title Decoder
  1219. //@apireg:title PROTOCOL_RST
  1220. //@apireg:software:name ResetAfterParamChanged
  1221. //@apireg:value:appoint bit-width:1 ; 协议模块使能,高有效。
  1222. //@apireg:desc abs-addr:0X8AEC; 协议全局使能,要打开协议使能必须将其拉高。,,,,
  1223. //@apireg:note reg_hw_name:protocol_rst
  1224. //@apireg:0xaddr 0X8800 | (((0XBB&0XFF) << 2) | ((0XBB&0X100) << 6))
  1225. 9'H0BB : protocol_rst <= cmd_iowr_d[0:0];
  1226. //@apireg:group:title Decoder
  1227. //@apireg:title PROTOCOL_TYPE
  1228. //@apireg:software:name ProtocolTypeForTrigger
  1229. //@apireg:value:appoint bit-width:5 ; 触发通道协议选择
  1230. //@apireg:desc abs-addr:0X8AF0; 其值应该和protocol_type_B1或protocol_type_B2同步发送,而且发送值相同。其作用仅仅是为了分配set参数,由于不会同时分析相同协议,所以不需要区分B1和B2。(原来有protocol_type4trigger和protocol_type4decode之分,现在不需要了,所以连带decode_or_trigger这个控制字也不需要了),,,,
  1231. //@apireg:note reg_hw_name:protocol_type
  1232. //@apireg:0xaddr 0X8800 | (((0XBC&0XFF) << 2) | ((0XBC&0X100) << 6))
  1233. 9'H0BC : protocol_type <= cmd_iowr_d[4:0];
  1234. //@apireg:group:title Decoder
  1235. //@apireg:title TRIG_CTRL_WORD0
  1236. //@apireg:software:name TrigControlWordL
  1237. //@apireg:value:appoint bit-width:16 ; 协议set控制参数低16位
  1238. //@apireg:desc abs-addr:0X8AF4; 协议使能打开后,从低位依次发送。,,,,
  1239. //@apireg:note reg_hw_name:trig_ctrl_word0
  1240. //@apireg:0xaddr 0X8800 | (((0XBD&0XFF) << 2) | ((0XBD&0X100) << 6))
  1241. 9'H0BD : trig_ctrl_word0 <= cmd_iowr_d[15:0];
  1242. //@apireg:group:title Decoder
  1243. //@apireg:title TRIG_CTRL_WORD1
  1244. //@apireg:software:name TrigControlWordM
  1245. //@apireg:value:appoint bit-width:16 ; 协议set控制参数中16位
  1246. //@apireg:desc abs-addr:0X8AF8; none
  1247. //@apireg:note reg_hw_name:trig_ctrl_word1
  1248. //@apireg:0xaddr 0X8800 | (((0XBE&0XFF) << 2) | ((0XBE&0X100) << 6))
  1249. 9'H0BE : trig_ctrl_word1 <= cmd_iowr_d[15:0];
  1250. //@apireg:group:title Decoder
  1251. //@apireg:title TRIG_CTRL_WORD2
  1252. //@apireg:software:name TrigControlWordH
  1253. //@apireg:value:appoint bit-width:16 ; 协议set控制参数高16位
  1254. //@apireg:desc abs-addr:0X8AFC; none
  1255. //@apireg:note reg_hw_name:trig_ctrl_word2
  1256. //@apireg:0xaddr 0X8800 | (((0XBF&0XFF) << 2) | ((0XBF&0X100) << 6))
  1257. 9'H0BF : trig_ctrl_word2 <= cmd_iowr_d[15:0];
  1258. //@apireg:group:title Decoder
  1259. //@apireg:title DECODE_RST
  1260. //@apireg:software:name RamResetEnable
  1261. //@apireg:value:appoint bit-width:1 ; 协议模块解码使能,高有效。
  1262. //@apireg:desc abs-addr:0X8B00; 要观察解码标签必须打开此使能,,,,
  1263. //@apireg:note reg_hw_name:decode_rst
  1264. //@apireg:0xaddr 0X8800 | (((0XC0&0XFF) << 2) | ((0XC0&0X100) << 6))
  1265. 9'H0C0 : decode_rst <= cmd_iowr_d[0:0];
  1266. //@apireg:group:title Decoder
  1267. //@apireg:title DSP_SET_B1
  1268. //@apireg:software:name B1Enable
  1269. //@apireg:value:appoint bit-width:1 ; 解码通道b1使能,高有效。
  1270. //@apireg:desc abs-addr:0X8B04; 示波器上打开对应解码通道,在选取协议类型后应该打开通道使能。,,,,
  1271. //@apireg:note reg_hw_name:dsp_set_b1
  1272. //@apireg:0xaddr 0X8800 | (((0XC1&0XFF) << 2) | ((0XC1&0X100) << 6))
  1273. 9'H0C1 : dsp_set_b1 <= cmd_iowr_d[0:0];
  1274. //@apireg:group:title Decoder
  1275. //@apireg:title DSP_SET_B2
  1276. //@apireg:software:name B2Enable
  1277. //@apireg:value:appoint bit-width:1 ; 解码通道b2使能,高有效。
  1278. //@apireg:desc abs-addr:0X8B08; 同上,,,,
  1279. //@apireg:note reg_hw_name:dsp_set_b2
  1280. //@apireg:0xaddr 0X8800 | (((0XC2&0XFF) << 2) | ((0XC2&0X100) << 6))
  1281. 9'H0C2 : dsp_set_b2 <= cmd_iowr_d[0:0];
  1282. //@apireg:group:title Decoder
  1283. //@apireg:title DECODE_RAM_PREDEPTH
  1284. //@apireg:software:name RamPreDepth
  1285. //@apireg:value:appoint bit-width:12 ; 解码ram预触发深度
  1286. //@apireg:desc abs-addr:0X8B0C; 根据需求设置,打开解码使能后发送。,,,,
  1287. //@apireg:note reg_hw_name:decode_ram_predepth
  1288. //@apireg:0xaddr 0X8800 | (((0XC3&0XFF) << 2) | ((0XC3&0X100) << 6))
  1289. 9'H0C3 : decode_ram_predepth <= cmd_iowr_d[11:0];
  1290. //@apireg:group:title Decoder
  1291. //@apireg:title DSP_WRRAM_EN
  1292. //@apireg:software:name RamWriteEnable
  1293. //@apireg:value:appoint bit-width:1 ; 解码ram写使能,高有效
  1294. //@apireg:desc abs-addr:0X8B10; 打开解码使能的时候就应该打开解码RAM写使能。,,,,
  1295. //@apireg:note reg_hw_name:dsp_wrram_en
  1296. //@apireg:0xaddr 0X8800 | (((0XC4&0XFF) << 2) | ((0XC4&0X100) << 6))
  1297. 9'H0C4 : dsp_wrram_en <= cmd_iowr_d[0:0];
  1298. //@apireg:group:title Decoder
  1299. //@apireg:title DSP_RDRAM_EN
  1300. //@apireg:software:name RamReadEnable
  1301. //@apireg:value:appoint bit-width:1 ; 解码ram读使能,高有效
  1302. //@apireg:desc abs-addr:0X8B14; 打开解码使能后应该定期发送RAM读使能,,,,
  1303. //@apireg:note reg_hw_name:dsp_rdram_en
  1304. //@apireg:0xaddr 0X8800 | (((0XC5&0XFF) << 2) | ((0XC5&0X100) << 6))
  1305. 9'H0C5 : dsp_rdram_en <= cmd_iowr_d[0:0];
  1306. //@apireg:group:title Decoder
  1307. //@apireg:title TRIG_TYPE_SEL
  1308. //@apireg:software:name TrigTypeSelect
  1309. //@apireg:value:appoint bit-width:5 ; 协议触发源选择
  1310. //@apireg:desc abs-addr:0X8B18; 打开协议使能后应该发送,其值应该和当前激活协议通道的控制字protocol_type相同。,,,,
  1311. //@apireg:note reg_hw_name:trig_type_sel
  1312. //@apireg:0xaddr 0X8800 | (((0XC6&0XFF) << 2) | ((0XC6&0X100) << 6))
  1313. 9'H0C6 : trig_type_sel <= cmd_iowr_d[4:0];
  1314. //@apireg:group:title Dpo
  1315. //@apireg:title DPO_DIGITAL_TRIG_EN
  1316. //@apireg:software:name DigitalTrigEnable
  1317. //@apireg:value:appoint bit-width:1 ; 0:数字触发关闭; ; 1:数字触发使能
  1318. //@apireg:desc abs-addr:0X8858; 可以与其他部分的数字触发使能复用,,,,
  1319. //@apireg:note reg_hw_name:dpo_digital_trig_en
  1320. //@apireg:0xaddr 0X8800 | (((0X16&0XFF) << 2) | ((0X16&0X100) << 6))
  1321. 9'H016 : dpo_digital_trig_en <= cmd_iowr_d[0:0];
  1322. //@apireg:group:title Dpo
  1323. //@apireg:title DPO_DIN_TEST_CONTROL
  1324. //@apireg:software:name dpo_din_test_control
  1325. //@apireg:value:appoint bit-width:1 ; dpo数据测试使能
  1326. //@apireg:desc abs-addr:0X885C; none
  1327. //@apireg:note reg_hw_name:dpo_din_test_control
  1328. //@apireg:0xaddr 0X8800 | (((0X17&0XFF) << 2) | ((0X17&0X100) << 6))
  1329. 9'H017 : dpo_din_test_control <= cmd_iowr_d[0:0];
  1330. //@apireg:group:title Dpo
  1331. //@apireg:title DPO_EN
  1332. //@apireg:software:name Enable
  1333. //@apireg:value:appoint bit-width:2 ; bit0:三维映射使能,高有效; ; bit1:三维映射模式,1表示矢量映射,0表示映射
  1334. //@apireg:desc abs-addr:0X8860; none
  1335. //@apireg:note reg_hw_name:dpo_en
  1336. //@apireg:0xaddr 0X8800 | (((0X18&0XFF) << 2) | ((0X18&0X100) << 6))
  1337. 9'H018 : dpo_en <= cmd_iowr_d[1:0];
  1338. //@apireg:group:title Dpo
  1339. //@apireg:title DPO_DECIMATION
  1340. //@apireg:software:name ExtractNum
  1341. //@apireg:value:appoint bit-width:4 ; 4bit后抽倍数
  1342. //@apireg:desc abs-addr:0X8864; none
  1343. //@apireg:note reg_hw_name:dpo_decimation
  1344. //@apireg:0xaddr 0X8800 | (((0X19&0XFF) << 2) | ((0X19&0X100) << 6))
  1345. 9'H019 : dpo_decimation <= cmd_iowr_d[3:0];
  1346. //@apireg:group:title Dpo
  1347. //@apireg:title DPO_CHANNEL_MODE
  1348. //@apireg:software:name MapChMode
  1349. //@apireg:value:appoint bit-width:1 ; 三维映射采集数据8路/4路传输控制
  1350. //@apireg:desc abs-addr:0X8868; 三维映射采集数据8路/4路传输控制(采集板传到处理板),单通道8路传输,双通道4路传输,,,,
  1351. //@apireg:note reg_hw_name:dpo_channel_mode
  1352. //@apireg:0xaddr 0X8800 | (((0X1A&0XFF) << 2) | ((0X1A&0X100) << 6))
  1353. 9'H01A : dpo_channel_mode <= cmd_iowr_d[0:0];
  1354. //@apireg:group:title Dpo
  1355. //@apireg:title DPO_MAP_FIFO_DEPTH
  1356. //@apireg:software:name MapFifoDepth
  1357. //@apireg:value:appoint bit-width:16 ; 并行映射fifo预满深度
  1358. //@apireg:desc abs-addr:0X886C; 并行映射fifo预满深度,,,,
  1359. //@apireg:note reg_hw_name:dpo_map_fifo_depth
  1360. //@apireg:0xaddr 0X8800 | (((0X1B&0XFF) << 2) | ((0X1B&0X100) << 6))
  1361. 9'H01B : dpo_map_fifo_depth <= cmd_iowr_d[15:0];
  1362. //@apireg:group:title Dpo
  1363. //@apireg:title DPO_MEASURE_FIFO_DEPTH
  1364. //@apireg:software:name MeasureFifoDepth
  1365. //@apireg:value:appoint bit-width:16 ; 三维映射参数测量fifo预满深度
  1366. //@apireg:desc abs-addr:0X8870; 三维映射软件FIFO预满深度,,,,
  1367. //@apireg:note reg_hw_name:dpo_measure_fifo_depth
  1368. //@apireg:0xaddr 0X8800 | (((0X1C&0XFF) << 2) | ((0X1C&0X100) << 6))
  1369. 9'H01C : dpo_measure_fifo_depth <= cmd_iowr_d[15:0];
  1370. //@apireg:group:title Dpo
  1371. //@apireg:title DPO_PRO_RESET
  1372. //@apireg:software:name OutReset
  1373. //@apireg:value:appoint bit-width:1 ; 0:不进行复位; ; 1:复位输出行列
  1374. //@apireg:desc abs-addr:0X8874; 不确定新的送显方式是否仍需要,保留,,,,
  1375. //@apireg:note reg_hw_name:dpo_pro_reset
  1376. //@apireg:0xaddr 0X8800 | (((0X1D&0XFF) << 2) | ((0X1D&0X100) << 6))
  1377. 9'H01D : dpo_pro_reset <= cmd_iowr_d[0:0];
  1378. //@apireg:group:title Dpo
  1379. //@apireg:title DPO_PARALLEL_EN
  1380. //@apireg:software:name ParallelDpx
  1381. //@apireg:value:appoint bit-width:1 ; 三维映射乒乓使能
  1382. //@apireg:desc abs-addr:0X8878; none
  1383. //@apireg:note reg_hw_name:dpo_parallel_en
  1384. //@apireg:0xaddr 0X8800 | (((0X1E&0XFF) << 2) | ((0X1E&0X100) << 6))
  1385. 9'H01E : dpo_parallel_en <= cmd_iowr_d[0:0];
  1386. //@apireg:group:title Dpo
  1387. //@apireg:title DPX_RAM_TEST_D_CTRL
  1388. //@apireg:software:name RAMTestEn
  1389. //@apireg:value:appoint bit-width:1 ; 送显映射数据测试使能(测试pcie传输)
  1390. //@apireg:desc abs-addr:0X887C; 送显映射数据测试使能(测试PCIE传输),,,,
  1391. //@apireg:note reg_hw_name:dpx_ram_test_d_ctrl
  1392. //@apireg:0xaddr 0X8800 | (((0X1F&0XFF) << 2) | ((0X1F&0X100) << 6))
  1393. 9'H01F : dpx_ram_test_d_ctrl <= cmd_iowr_d[0:0];
  1394. //@apireg:group:title Dpo
  1395. //@apireg:title DPO_TIME_OVER
  1396. //@apireg:software:name TimeOverCtrlWord
  1397. //@apireg:value:appoint bit-width:1 ; 0:计时未到; ; 1:计时超时
  1398. //@apireg:desc abs-addr:0X8880; 发1表明pc需要读取数据,硬件停止当前的波形映射,进行送显,,,,
  1399. //@apireg:note reg_hw_name:dpo_time_over
  1400. //@apireg:0xaddr 0X8800 | (((0X20&0XFF) << 2) | ((0X20&0X100) << 6))
  1401. 9'H020 : dpo_time_over <= cmd_iowr_d[0:0];
  1402. //@apireg:group:title Dpo
  1403. //@apireg:title DPO_CNT_SCREEN_MAX
  1404. //@apireg:software:name cnt_screen_max
  1405. //@apireg:value:appoint bit-width:16 ; ??映射次数??默认 0x1fff
  1406. //@apireg:desc abs-addr:0X8B3C; 默认 0X1FFF,,,,
  1407. //@apireg:note reg_hw_name:dpo_cnt_screen_max
  1408. //@apireg:0xaddr 0X8800 | (((0XCF&0XFF) << 2) | ((0XCF&0X100) << 6))
  1409. 9'H0CF : dpo_cnt_screen_max <= cmd_iowr_d[15:0];
  1410. //@apireg:group:title Dpo
  1411. //@apireg:title DPO_TEST_CTRL
  1412. //@apireg:software:name dpo_test_ctrl
  1413. //@apireg:value:appoint bit-width:16 ; 测试模式控制
  1414. //@apireg:desc abs-addr:0X8B40; none
  1415. //@apireg:note reg_hw_name:dpo_test_ctrl
  1416. //@apireg:0xaddr 0X8800 | (((0XD0&0XFF) << 2) | ((0XD0&0X100) << 6))
  1417. 9'H0D0 : dpo_test_ctrl <= cmd_iowr_d[15:0];
  1418. //@apireg:group:title Dpo
  1419. //@apireg:title PRO_PINGPONG_CNT_THRESH
  1420. //@apireg:software:name pro_pingpong_cnt_thresh
  1421. //@apireg:value:appoint bit-width:16 ; 处理板乒乓传输计数器阈值
  1422. //@apireg:desc abs-addr:0X8B94; none
  1423. //@apireg:note reg_hw_name:pro_pingpong_cnt_thresh
  1424. //@apireg:0xaddr 0X8800 | (((0XE5&0XFF) << 2) | ((0XE5&0X100) << 6))
  1425. 9'H0E5 : pro_pingpong_cnt_thresh <= cmd_iowr_d[15:0];
  1426. //@apireg:group:title FPGAFlashUpdater
  1427. //@apireg:title PRO_CONFIG_FLASH_READSTART
  1428. //@apireg:software:name ReadStart
  1429. //@apireg:value:appoint bit-width:1 ; 启动接收flash的数据,等待时间与spiclock有关。先启动,等待,读数,然后关闭
  1430. //@apireg:desc abs-addr:0X8890; 读回下发寄存器的值,,,,
  1431. //@apireg:note reg_hw_name:pro_config_flash_readstart
  1432. //@apireg:0xaddr 0X8800 | (((0X24&0XFF) << 2) | ((0X24&0X100) << 6))
  1433. 9'H024 : pro_config_flash_readstart <= cmd_iowr_d[0:0];
  1434. //@apireg:group:title FPGAFlashUpdater
  1435. //@apireg:title PRO_CONFIG_FLASH_SPICLOCK_DIV
  1436. //@apireg:software:name SpiClock
  1437. //@apireg:value:appoint bit-width:8 ; 独热码,指定spi的时钟的分频比
  1438. //@apireg:desc abs-addr:0X8894; none
  1439. //@apireg:note reg_hw_name:pro_config_flash_spiclock_div
  1440. //@apireg:0xaddr 0X8800 | (((0X25&0XFF) << 2) | ((0X25&0X100) << 6))
  1441. 9'H025 : pro_config_flash_spiclock_div <= cmd_iowr_d[7:0];
  1442. //@apireg:group:title FPGAFlashUpdater
  1443. //@apireg:title PRO_CONFIG_FLASH_SS
  1444. //@apireg:software:name SS
  1445. //@apireg:value:appoint bit-width:1 ; 通过spi总线往来传输数据时为1,关闭spi总线时为0,参照flash命令时序图
  1446. //@apireg:desc abs-addr:0X8898; none
  1447. //@apireg:note reg_hw_name:pro_config_flash_ss
  1448. //@apireg:0xaddr 0X8800 | (((0X26&0XFF) << 2) | ((0X26&0X100) << 6))
  1449. 9'H026 : pro_config_flash_ss <= cmd_iowr_d[0:0];
  1450. //@apireg:group:title FPGAFlashUpdater
  1451. //@apireg:title PRO_CONFIG_FLASH_WRITEDATA
  1452. //@apireg:software:name WriteData
  1453. //@apireg:value:appoint bit-width:8 ; 传向flash的数据,每次8bit
  1454. //@apireg:desc abs-addr:0X889C; none
  1455. //@apireg:note reg_hw_name:pro_config_flash_writedata
  1456. //@apireg:0xaddr 0X8800 | (((0X27&0XFF) << 2) | ((0X27&0X100) << 6))
  1457. 9'H027 : pro_config_flash_writedata <= cmd_iowr_d[7:0];
  1458. //@apireg:group:title FPGAFlashUpdater
  1459. //@apireg:title PRO_CONFIG_FLASH_WRITESTART
  1460. //@apireg:software:name WriteStart
  1461. //@apireg:value:appoint bit-width:1 ; 启动先flash的数据传输,每次8bit,等待的时间与spiclock有关。先writedata,然后启动传输,之后关闭
  1462. //@apireg:desc abs-addr:0X88A0; none
  1463. //@apireg:note reg_hw_name:pro_config_flash_writestart
  1464. //@apireg:0xaddr 0X8800 | (((0X28&0XFF) << 2) | ((0X28&0X100) << 6))
  1465. 9'H028 : pro_config_flash_writestart <= cmd_iowr_d[0:0];
  1466. //@apireg:group:title FREQ_DETECTION
  1467. //@apireg:title AFC_FACTOR_CH_SEL
  1468. //@apireg:software:name afc_factor_ch_sel
  1469. //@apireg:value:appoint bit-width:2 ; 处理板四通道系数下发选择:(00选通第一通道道 01第二通道 10第三通道 11第四通道)
  1470. //@apireg:desc abs-addr:0XC9B0; none
  1471. //@apireg:note reg_hw_name:afc_factor_ch_sel
  1472. //@apireg:0xaddr 0X8800 | (((0X16C&0XFF) << 2) | ((0X16C&0X100) << 6))
  1473. 9'H16C : afc_factor_ch_sel <= cmd_iowr_d[1:0];
  1474. //@apireg:group:title FREQ_DETECTION
  1475. //@apireg:title AFC_FACTOR_WA
  1476. //@apireg:software:name afc_factor_wa
  1477. //@apireg:value:appoint bit-width:16 ; 系数地址
  1478. //@apireg:desc abs-addr:0XC9B4; none
  1479. //@apireg:note reg_hw_name:afc_factor_wa
  1480. //@apireg:0xaddr 0X8800 | (((0X16D&0XFF) << 2) | ((0X16D&0X100) << 6))
  1481. 9'H16D : afc_factor_wa <= cmd_iowr_d[15:0];
  1482. //@apireg:group:title FREQ_DETECTION
  1483. //@apireg:title AFC_FACTOR_WD_L
  1484. //@apireg:software:name afc_factor_wd_L
  1485. //@apireg:value:appoint bit-width:16 ; 系数低16bit
  1486. //@apireg:desc abs-addr:0XC9B8; none
  1487. //@apireg:note reg_hw_name:afc_factor_wd_l
  1488. //@apireg:0xaddr 0X8800 | (((0X16E&0XFF) << 2) | ((0X16E&0X100) << 6))
  1489. 9'H16E : afc_factor_wd_l <= cmd_iowr_d[15:0];
  1490. //@apireg:group:title FREQ_DETECTION
  1491. //@apireg:title AFC_FACTOR_WD_H
  1492. //@apireg:software:name afc_factor_wd_H
  1493. //@apireg:value:appoint bit-width:16 ; 系数高bit
  1494. //@apireg:desc abs-addr:0XC9BC; none
  1495. //@apireg:note reg_hw_name:afc_factor_wd_h
  1496. //@apireg:0xaddr 0X8800 | (((0X16F&0XFF) << 2) | ((0X16F&0X100) << 6))
  1497. 9'H16F : afc_factor_wd_h <= cmd_iowr_d[15:0];
  1498. //@apireg:group:title FREQ_DETECTION
  1499. //@apireg:title AFC_FACTOR_WEN
  1500. //@apireg:software:name afc_factor_wen
  1501. //@apireg:value:appoint bit-width:1 ; 系数写使能 (硬件检测上升沿接收数据)
  1502. //@apireg:desc abs-addr:0XC9C0; none
  1503. //@apireg:note reg_hw_name:afc_factor_wen
  1504. //@apireg:0xaddr 0X8800 | (((0X170&0XFF) << 2) | ((0X170&0X100) << 6))
  1505. 9'H170 : afc_factor_wen <= cmd_iowr_d[0:0];
  1506. //@apireg:group:title FREQ_DETECTION
  1507. //@apireg:title INTERP_FACTOR_WA
  1508. //@apireg:software:name interp_factor_wa
  1509. //@apireg:value:appoint bit-width:16 ; 系数地址
  1510. //@apireg:desc abs-addr:0XC9C4; none
  1511. //@apireg:note reg_hw_name:interp_factor_wa
  1512. //@apireg:0xaddr 0X8800 | (((0X171&0XFF) << 2) | ((0X171&0X100) << 6))
  1513. 9'H171 : interp_factor_wa <= cmd_iowr_d[15:0];
  1514. //@apireg:group:title FREQ_DETECTION
  1515. //@apireg:title INTERP_FACTOR_WD_L
  1516. //@apireg:software:name interp_factor_wd_L
  1517. //@apireg:value:appoint bit-width:16 ; 系数低16bit
  1518. //@apireg:desc abs-addr:0XC9C8; none
  1519. //@apireg:note reg_hw_name:interp_factor_wd_l
  1520. //@apireg:0xaddr 0X8800 | (((0X172&0XFF) << 2) | ((0X172&0X100) << 6))
  1521. 9'H172 : interp_factor_wd_l <= cmd_iowr_d[15:0];
  1522. //@apireg:group:title FREQ_DETECTION
  1523. //@apireg:title INTERP_FACTOR_WD_H
  1524. //@apireg:software:name interp_factor_wd_H
  1525. //@apireg:value:appoint bit-width:16 ; 系数高bit
  1526. //@apireg:desc abs-addr:0XC9CC; none
  1527. //@apireg:note reg_hw_name:interp_factor_wd_h
  1528. //@apireg:0xaddr 0X8800 | (((0X173&0XFF) << 2) | ((0X173&0X100) << 6))
  1529. 9'H173 : interp_factor_wd_h <= cmd_iowr_d[15:0];
  1530. //@apireg:group:title FREQ_DETECTION
  1531. //@apireg:title INTERP_FACTOR_WEN
  1532. //@apireg:software:name interp_factor_wen
  1533. //@apireg:value:appoint bit-width:1 ; 系数写使能(硬件检测上升沿接收数据)
  1534. //@apireg:desc abs-addr:0XC9D0; none
  1535. //@apireg:note reg_hw_name:interp_factor_wen
  1536. //@apireg:0xaddr 0X8800 | (((0X174&0XFF) << 2) | ((0X174&0X100) << 6))
  1537. 9'H174 : interp_factor_wen <= cmd_iowr_d[0:0];
  1538. //@apireg:group:title FREQ_DETECTION
  1539. //@apireg:title PRO_AFC_EN
  1540. //@apireg:software:name pro_afc_en
  1541. //@apireg:value:appoint bit-width:1 ; 幅频校准使能
  1542. //@apireg:desc abs-addr:0XC9D4; none
  1543. //@apireg:note reg_hw_name:pro_afc_en
  1544. //@apireg:0xaddr 0X8800 | (((0X175&0XFF) << 2) | ((0X175&0X100) << 6))
  1545. 9'H175 : pro_afc_en <= cmd_iowr_d[0:0];
  1546. //@apireg:group:title FREQ_DETECTION
  1547. //@apireg:title PRO_INTERP_EN
  1548. //@apireg:software:name pro_interp_en
  1549. //@apireg:value:appoint bit-width:1 ; 插值使能
  1550. //@apireg:desc abs-addr:0XC9D8; none
  1551. //@apireg:note reg_hw_name:pro_interp_en
  1552. //@apireg:0xaddr 0X8800 | (((0X176&0XFF) << 2) | ((0X176&0X100) << 6))
  1553. 9'H176 : pro_interp_en <= cmd_iowr_d[0:0];
  1554. //@apireg:group:title FifoCtrl
  1555. //@apireg:title DSP_FIFO_START
  1556. //@apireg:software:name AcqWriteEnable
  1557. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  1558. //@apireg:desc abs-addr:0X8884; 0->1 上升沿 复位,当该写使能有效并且采集板数据有效, ; 采集板FIFO未满时,采集板FIFO的写使能才有效, ; 每次采集时,先发一次0, ; 再发一次1表明本次采集开始写入FIFO,,,,
  1559. //@apireg:note reg_hw_name:dsp_fifo_start
  1560. //@apireg:0xaddr 0X8800 | (((0X21&0XFF) << 2) | ((0X21&0X100) << 6))
  1561. 9'H021 : dsp_fifo_start <= cmd_iowr_d[0:0];
  1562. //@apireg:group:title FifoCtrl
  1563. //@apireg:title PRO_FIFO_DEPTH
  1564. //@apireg:software:name FullProgDepth
  1565. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  1566. //@apireg:desc abs-addr:0X8888; 处理板软件Fifo深度,最大16384, ; 初始化发送值为12288,,,,
  1567. //@apireg:note reg_hw_name:pro_fifo_depth
  1568. //@apireg:0xaddr 0X8800 | (((0X22&0XFF) << 2) | ((0X22&0X100) << 6))
  1569. 9'H022 : pro_fifo_depth <= cmd_iowr_d[15:0];
  1570. //@apireg:group:title FifoCtrl
  1571. //@apireg:title PARALLEL_FIFO_THRESHOLD
  1572. //@apireg:software:name ParallelFifoDepth
  1573. //@apireg:value:appoint bit-width:16 ; 16bit 并行regular fifo可编程满深度
  1574. //@apireg:desc abs-addr:0X888C; 默认值为6144,,,,
  1575. //@apireg:note reg_hw_name:parallel_fifo_threshold
  1576. //@apireg:0xaddr 0X8800 | (((0X23&0XFF) << 2) | ((0X23&0X100) << 6))
  1577. 9'H023 : parallel_fifo_threshold <= cmd_iowr_d[15:0];
  1578. //@apireg:group:title Inverter
  1579. //@apireg:title INVERTER_EN
  1580. //@apireg:software:name Enable
  1581. //@apireg:value:appoint bit-width:16 ; 通道反相运算使能,高有效,bit0对应模拟通道0,bit1对应模拟通道1,以此类推
  1582. //@apireg:desc abs-addr:0X88A4; none
  1583. //@apireg:note reg_hw_name:inverter_en
  1584. //@apireg:0xaddr 0X8800 | (((0X29&0XFF) << 2) | ((0X29&0X100) << 6))
  1585. 9'H029 : inverter_en <= cmd_iowr_d[15:0];
  1586. //@apireg:group:title IoCtrl
  1587. //@apireg:title CLK_SOURCE_SELECT
  1588. //@apireg:software:name clk_source_select
  1589. //@apireg:value:appoint bit-width:16 ; 外部10m输入选择
  1590. //@apireg:desc abs-addr:0XC9E0; none
  1591. //@apireg:note reg_hw_name:clk_source_select
  1592. //@apireg:0xaddr 0X8800 | (((0X178&0XFF) << 2) | ((0X178&0X100) << 6))
  1593. 9'H178 : clk_source_select <= cmd_iowr_d[15:0];
  1594. //@apireg:group:title LA
  1595. //@apireg:title V7_AD5668_CTRL_DATA_HIGH
  1596. //@apireg:software:name AD5668CtrlDataH
  1597. //@apireg:value:appoint bit-width:16 ; 深机箱用。16bit
  1598. //@apireg:desc abs-addr:0X88A8; 使能后发送的32位数据的低16位,,,,
  1599. //@apireg:note reg_hw_name:v7_ad5668_ctrl_data_high
  1600. //@apireg:0xaddr 0X8800 | (((0X2A&0XFF) << 2) | ((0X2A&0X100) << 6))
  1601. 9'H02A : v7_ad5668_ctrl_data_high <= cmd_iowr_d[15:0];
  1602. //@apireg:group:title LA
  1603. //@apireg:title V7_AD5668_CTRL_DATA_LOW
  1604. //@apireg:software:name AD5668CtrlDataL
  1605. //@apireg:value:appoint bit-width:16 ; 深机箱用。16bit,参照手册。包含比较电平的发送
  1606. //@apireg:desc abs-addr:0X88AC; 使能后发送的32位数据的高16位,,,,
  1607. //@apireg:note reg_hw_name:v7_ad5668_ctrl_data_low
  1608. //@apireg:0xaddr 0X8800 | (((0X2B&0XFF) << 2) | ((0X2B&0X100) << 6))
  1609. 9'H02B : v7_ad5668_ctrl_data_low <= cmd_iowr_d[15:0];
  1610. //@apireg:group:title LA
  1611. //@apireg:title V7_AD5668START
  1612. //@apireg:software:name AD5668TransStart
  1613. //@apireg:value:appoint bit-width:16 ; 深机箱用。16bit,为上升沿有效,000:拉低停止传输,111:拉高开始传输
  1614. //@apireg:desc abs-addr:0X88B0; 使能后发送32位数据,延迟需要满足通过SPI将32位数据传输完毕,与传输该数据的SPI的Clock有关。先将数据发送给FPGA,然后拉高,延时足够的时间,然后拉低。,,,,
  1615. //@apireg:note reg_hw_name:v7_ad5668start
  1616. //@apireg:0xaddr 0X8800 | (((0X2C&0XFF) << 2) | ((0X2C&0X100) << 6))
  1617. 9'H02C : v7_ad5668start <= cmd_iowr_d[15:0];
  1618. //@apireg:group:title LA
  1619. //@apireg:title LA_DECIMATION_H16
  1620. //@apireg:software:name DecimationH16
  1621. //@apireg:value:appoint bit-width:16 ; 16bit
  1622. //@apireg:desc abs-addr:0X88B4; 抽取比的高16位,抽取比取值范围为1~10_000_000_000,,,,
  1623. //@apireg:note reg_hw_name:la_decimation_h16
  1624. //@apireg:0xaddr 0X8800 | (((0X2D&0XFF) << 2) | ((0X2D&0X100) << 6))
  1625. 9'H02D : la_decimation_h16 <= cmd_iowr_d[15:0];
  1626. //@apireg:group:title LA
  1627. //@apireg:title LA_DECIMATION_L16
  1628. //@apireg:software:name DecimationL16
  1629. //@apireg:value:appoint bit-width:16 ; 16bit
  1630. //@apireg:desc abs-addr:0X88B8; 抽取比的低16位,抽取比取值范围为1~10_000_000_000,,,,
  1631. //@apireg:note reg_hw_name:la_decimation_l16
  1632. //@apireg:0xaddr 0X8800 | (((0X2E&0XFF) << 2) | ((0X2E&0X100) << 6))
  1633. 9'H02E : la_decimation_l16 <= cmd_iowr_d[15:0];
  1634. //@apireg:group:title LA
  1635. //@apireg:title LA_DECIMATION_M16
  1636. //@apireg:software:name DecimationM16
  1637. //@apireg:value:appoint bit-width:16 ; 16bit
  1638. //@apireg:desc abs-addr:0X88BC; 抽取比的中16位,抽取比取值范围为1~10_000_000_000,,,,
  1639. //@apireg:note reg_hw_name:la_decimation_m16
  1640. //@apireg:0xaddr 0X8800 | (((0X2F&0XFF) << 2) | ((0X2F&0X100) << 6))
  1641. 9'H02F : la_decimation_m16 <= cmd_iowr_d[15:0];
  1642. //@apireg:group:title LA
  1643. //@apireg:title LA_PROG_FULL_THRESH_HIGH
  1644. //@apireg:software:name FIFODepthH
  1645. //@apireg:value:appoint bit-width:16 ; 5bit
  1646. //@apireg:desc abs-addr:0X88C0; la模块FIFO可编程满深度的高5位,FIFO可编程满深度的最大为1024,,,,
  1647. //@apireg:note reg_hw_name:la_prog_full_thresh_high
  1648. //@apireg:0xaddr 0X8800 | (((0X30&0XFF) << 2) | ((0X30&0X100) << 6))
  1649. 9'H030 : la_prog_full_thresh_high <= cmd_iowr_d[15:0];
  1650. //@apireg:group:title LA
  1651. //@apireg:title LA_PROG_FULL_THRESH_LOW
  1652. //@apireg:software:name FIFODepthL
  1653. //@apireg:value:appoint bit-width:16 ; 16bit
  1654. //@apireg:desc abs-addr:0X88C4; la模块FIFO可编程满深度的低16位,FIFO可编程满深度的最大为1024,,,,
  1655. //@apireg:note reg_hw_name:la_prog_full_thresh_low
  1656. //@apireg:0xaddr 0X8800 | (((0X31&0XFF) << 2) | ((0X31&0X100) << 6))
  1657. 9'H031 : la_prog_full_thresh_low <= cmd_iowr_d[15:0];
  1658. //@apireg:group:title LA
  1659. //@apireg:title LA_GTX_RDY
  1660. //@apireg:software:name GtxReady
  1661. //@apireg:value:appoint bit-width:1 ; 1bit,上升沿有效
  1662. //@apireg:desc abs-addr:0X88C8; 初始化时复位一次; 深机箱:控制Iserdese的 bitslip控制信号,高电平有效,,,,
  1663. //@apireg:note reg_hw_name:la_gtx_rdy
  1664. //@apireg:0xaddr 0X8800 | (((0X32&0XFF) << 2) | ((0X32&0X100) << 6))
  1665. 9'H032 : la_gtx_rdy <= cmd_iowr_d[0:0];
  1666. //@apireg:group:title LA
  1667. //@apireg:title LA_GTX_RESET
  1668. //@apireg:software:name GtxReset
  1669. //@apireg:value:appoint bit-width:1 ; 1bit,下降沿有效
  1670. //@apireg:desc abs-addr:0X88CC; 初始化时复位一次,,,,
  1671. //@apireg:note reg_hw_name:la_gtx_reset
  1672. //@apireg:0xaddr 0X8800 | (((0X33&0XFF) << 2) | ((0X33&0X100) << 6))
  1673. 9'H033 : la_gtx_reset <= cmd_iowr_d[0:0];
  1674. //@apireg:group:title LA
  1675. //@apireg:title LA_SAMPLE_MODE
  1676. //@apireg:software:name InterpolationMode
  1677. //@apireg:value:appoint bit-width:8 ; 4bit
  1678. //@apireg:desc abs-addr:0X88D0; 插值模式,固定发送0b1000,,,,
  1679. //@apireg:note reg_hw_name:la_sample_mode
  1680. //@apireg:0xaddr 0X8800 | (((0X34&0XFF) << 2) | ((0X34&0X100) << 6))
  1681. 9'H034 : la_sample_mode <= cmd_iowr_d[7:0];
  1682. //@apireg:group:title LA
  1683. //@apireg:title LA_DDR_EN
  1684. //@apireg:software:name IsDDRMode
  1685. //@apireg:value:appoint bit-width:1 ; 1bit,0为普通存储,1为ddr存储
  1686. //@apireg:desc abs-addr:0X88D4; 存储模式选择,,,,
  1687. //@apireg:note reg_hw_name:la_ddr_en
  1688. //@apireg:0xaddr 0X8800 | (((0X35&0XFF) << 2) | ((0X35&0X100) << 6))
  1689. 9'H035 : la_ddr_en <= cmd_iowr_d[0:0];
  1690. //@apireg:group:title LA
  1691. //@apireg:title V7_LA_EN
  1692. //@apireg:software:name PowerCtrl
  1693. //@apireg:value:appoint bit-width:3 ; 深机箱用。3bit,000:断电,111:通电
  1694. //@apireg:desc abs-addr:0X88D8; 给LA板子供电,给3块LA板供电,需要同时控制,,,,
  1695. //@apireg:note reg_hw_name:v7_la_en
  1696. //@apireg:0xaddr 0X8800 | (((0X36&0XFF) << 2) | ((0X36&0X100) << 6))
  1697. 9'H036 : v7_la_en <= cmd_iowr_d[2:0];
  1698. //@apireg:group:title LA
  1699. //@apireg:title LA_SOFT_RESET
  1700. //@apireg:software:name SoftReset
  1701. //@apireg:value:appoint bit-width:1 ; 1bit,下降沿有效
  1702. //@apireg:desc abs-addr:0X88DC; gt的时钟的复位信号。先复位SoftReset,再复位GtxReset,最后复位GtxReady。SoftReset和GtxReset之间没有延迟时间要求,但需要保证顺序正确,GtxReset和GtxReady之间手册中要求不低于500ns的延迟,,,,
  1703. //@apireg:note reg_hw_name:la_soft_reset
  1704. //@apireg:0xaddr 0X8800 | (((0X37&0XFF) << 2) | ((0X37&0X100) << 6))
  1705. 9'H037 : la_soft_reset <= cmd_iowr_d[0:0];
  1706. //@apireg:group:title LA
  1707. //@apireg:title LA_TRIG_EDGE_SEL
  1708. //@apireg:software:name TrigEdgeSel
  1709. //@apireg:value:appoint bit-width:2 ; 2bit,低位:1:上升沿触发 0:下降沿触发; 高位:1:选择la触发信号,0:选择时域触发信号
  1710. //@apireg:desc abs-addr:0X88E0; 边沿触发选择。,,,,
  1711. //@apireg:note reg_hw_name:la_trig_edge_sel
  1712. //@apireg:0xaddr 0X8800 | (((0X38&0XFF) << 2) | ((0X38&0X100) << 6))
  1713. 9'H038 : la_trig_edge_sel <= cmd_iowr_d[1:0];
  1714. //@apireg:group:title LA
  1715. //@apireg:title LA_TRIG_NUM
  1716. //@apireg:software:name TrigSourceSel
  1717. //@apireg:value:appoint bit-width:16 ; 16bit
  1718. //@apireg:desc abs-addr:0X88E4; LA触发源数据选择,自然数序列,16通道时数据范围为1~16,48路时数据范围为1~48,,,,
  1719. //@apireg:note reg_hw_name:la_trig_num
  1720. //@apireg:0xaddr 0X8800 | (((0X39&0XFF) << 2) | ((0X39&0X100) << 6))
  1721. 9'H039 : la_trig_num <= cmd_iowr_d[15:0];
  1722. //@apireg:group:title LA
  1723. //@apireg:title PC_DDR3_UI_RST_N_LA
  1724. //@apireg:software:name DdrUiReset
  1725. //@apireg:value:appoint bit-width:1 ; ddr3 ui复位,低有效
  1726. //@apireg:desc abs-addr:0X8B48; none
  1727. //@apireg:note reg_hw_name:pc_ddr3_ui_rst_n_la
  1728. //@apireg:0xaddr 0X8800 | (((0XD2&0XFF) << 2) | ((0XD2&0X100) << 6))
  1729. 9'H0D2 : pc_ddr3_ui_rst_n_la <= cmd_iowr_d[0:0];
  1730. //@apireg:group:title LA
  1731. //@apireg:title PC_DDR3_FIFO_WEN
  1732. //@apireg:software:name DdrWriteEnable
  1733. //@apireg:value:appoint bit-width:1 ; ddr3控制器的写使能,1为打开,0为关闭
  1734. //@apireg:desc abs-addr:0X8B4C; none
  1735. //@apireg:note reg_hw_name:pc_ddr3_fifo_wen
  1736. //@apireg:0xaddr 0X8800 | (((0XD3&0XFF) << 2) | ((0XD3&0X100) << 6))
  1737. 9'H0D3 : pc_ddr3_fifo_wen <= cmd_iowr_d[0:0];
  1738. //@apireg:group:title LA
  1739. //@apireg:title PC_WR_ADDR_SEGMENT_H
  1740. //@apireg:software:name DdrWriteStartAddrH
  1741. //@apireg:value:appoint bit-width:16 ; 写初始地址高13位
  1742. //@apireg:desc abs-addr:0X8B50; none
  1743. //@apireg:note reg_hw_name:pc_wr_addr_segment_h
  1744. //@apireg:0xaddr 0X8800 | (((0XD4&0XFF) << 2) | ((0XD4&0X100) << 6))
  1745. 9'H0D4 : pc_wr_addr_segment_h <= cmd_iowr_d[15:0];
  1746. //@apireg:group:title LA
  1747. //@apireg:title PC_WR_ADDR_SEGMENT_L
  1748. //@apireg:software:name DdrWriteStartAddrL
  1749. //@apireg:value:appoint bit-width:16 ; 写初始地址低16位
  1750. //@apireg:desc abs-addr:0X8B54; none
  1751. //@apireg:note reg_hw_name:pc_wr_addr_segment_l
  1752. //@apireg:0xaddr 0X8800 | (((0XD5&0XFF) << 2) | ((0XD5&0X100) << 6))
  1753. 9'H0D5 : pc_wr_addr_segment_l <= cmd_iowr_d[15:0];
  1754. //@apireg:group:title LA
  1755. //@apireg:title PC_WR_CTRL_DEPTH_H
  1756. //@apireg:software:name DdrWriteAddrLengthH
  1757. //@apireg:value:appoint bit-width:16 ; 写数据的存储长度高12位
  1758. //@apireg:desc abs-addr:0X8B58; none
  1759. //@apireg:note reg_hw_name:pc_wr_ctrl_depth_h
  1760. //@apireg:0xaddr 0X8800 | (((0XD6&0XFF) << 2) | ((0XD6&0X100) << 6))
  1761. 9'H0D6 : pc_wr_ctrl_depth_h <= cmd_iowr_d[15:0];
  1762. //@apireg:group:title LA
  1763. //@apireg:title PC_WR_CTRL_DEPTH_L
  1764. //@apireg:software:name DdrWriteAddrLengthL
  1765. //@apireg:value:appoint bit-width:16 ; 写数据的存储长度低16位
  1766. //@apireg:desc abs-addr:0X8B5C; none
  1767. //@apireg:note reg_hw_name:pc_wr_ctrl_depth_l
  1768. //@apireg:0xaddr 0X8800 | (((0XD7&0XFF) << 2) | ((0XD7&0X100) << 6))
  1769. 9'H0D7 : pc_wr_ctrl_depth_l <= cmd_iowr_d[15:0];
  1770. //@apireg:group:title LA
  1771. //@apireg:title PC_WR_PRE_SEPTH_H
  1772. //@apireg:software:name DdrWritePreDepthH
  1773. //@apireg:value:appoint bit-width:16 ; 写数据的预触发深度高12位
  1774. //@apireg:desc abs-addr:0X8B60; none
  1775. //@apireg:note reg_hw_name:pc_wr_pre_septh_h
  1776. //@apireg:0xaddr 0X8800 | (((0XD8&0XFF) << 2) | ((0XD8&0X100) << 6))
  1777. 9'H0D8 : pc_wr_pre_septh_h <= cmd_iowr_d[15:0];
  1778. //@apireg:group:title LA
  1779. //@apireg:title PC_WR_PRE_SEPTH_L
  1780. //@apireg:software:name DdrWritePreDepthL
  1781. //@apireg:value:appoint bit-width:16 ; 写数据的预触发深度低16位
  1782. //@apireg:desc abs-addr:0X8B64; none
  1783. //@apireg:note reg_hw_name:pc_wr_pre_septh_l
  1784. //@apireg:0xaddr 0X8800 | (((0XD9&0XFF) << 2) | ((0XD9&0X100) << 6))
  1785. 9'H0D9 : pc_wr_pre_septh_l <= cmd_iowr_d[15:0];
  1786. //@apireg:group:title LA
  1787. //@apireg:title PC_DDR3_REN_LA
  1788. //@apireg:software:name DdrReadEnable
  1789. //@apireg:value:appoint bit-width:1 ; ddr3控制器的读使能,1为打开,0为关闭
  1790. //@apireg:desc abs-addr:0X8B68; none
  1791. //@apireg:note reg_hw_name:pc_ddr3_ren_la
  1792. //@apireg:0xaddr 0X8800 | (((0XDA&0XFF) << 2) | ((0XDA&0X100) << 6))
  1793. 9'H0DA : pc_ddr3_ren_la <= cmd_iowr_d[0:0];
  1794. //@apireg:group:title LA
  1795. //@apireg:title PC_RD_LENGTH_H
  1796. //@apireg:software:name DdrReadAddrLengthH
  1797. //@apireg:value:appoint bit-width:16 ; 读数据地址个数高12位
  1798. //@apireg:desc abs-addr:0X8B6C; none
  1799. //@apireg:note reg_hw_name:pc_rd_length_h
  1800. //@apireg:0xaddr 0X8800 | (((0XDB&0XFF) << 2) | ((0XDB&0X100) << 6))
  1801. 9'H0DB : pc_rd_length_h <= cmd_iowr_d[15:0];
  1802. //@apireg:group:title LA
  1803. //@apireg:title PC_RD_LENGTH_L
  1804. //@apireg:software:name DdrReadAddrLengthL
  1805. //@apireg:value:appoint bit-width:16 ; 读数据地址个数低16位
  1806. //@apireg:desc abs-addr:0X8B70; none
  1807. //@apireg:note reg_hw_name:pc_rd_length_l
  1808. //@apireg:0xaddr 0X8800 | (((0XDC&0XFF) << 2) | ((0XDC&0X100) << 6))
  1809. 9'H0DC : pc_rd_length_l <= cmd_iowr_d[15:0];
  1810. //@apireg:group:title LA
  1811. //@apireg:title PC_RD_BEGIN_ADDR_H
  1812. //@apireg:software:name DdrReadBeginAddrH
  1813. //@apireg:value:appoint bit-width:16 ; 读数据地址中的第一个地址高13位(可能是从数据存储段的中间开始读)
  1814. //@apireg:desc abs-addr:0X8B74; none
  1815. //@apireg:note reg_hw_name:pc_rd_begin_addr_h
  1816. //@apireg:0xaddr 0X8800 | (((0XDD&0XFF) << 2) | ((0XDD&0X100) << 6))
  1817. 9'H0DD : pc_rd_begin_addr_h <= cmd_iowr_d[15:0];
  1818. //@apireg:group:title LA
  1819. //@apireg:title PC_RD_BEGIN_ADDR_L
  1820. //@apireg:software:name DdrReadBeginAddL
  1821. //@apireg:value:appoint bit-width:16 ; 读数据地址中的第一个地址低16位(可能是从数据存储段的中间开始读)
  1822. //@apireg:desc abs-addr:0X8B78; none
  1823. //@apireg:note reg_hw_name:pc_rd_begin_addr_l
  1824. //@apireg:0xaddr 0X8800 | (((0XDE&0XFF) << 2) | ((0XDE&0X100) << 6))
  1825. 9'H0DE : pc_rd_begin_addr_l <= cmd_iowr_d[15:0];
  1826. //@apireg:group:title LA
  1827. //@apireg:title PC_RD_SEGMENT_BEGIN_ADDR_H
  1828. //@apireg:software:name DdrSegmentStartAddrH
  1829. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的起始地址高13位
  1830. //@apireg:desc abs-addr:0X8B7C; none
  1831. //@apireg:note reg_hw_name:pc_rd_segment_begin_addr_h
  1832. //@apireg:0xaddr 0X8800 | (((0XDF&0XFF) << 2) | ((0XDF&0X100) << 6))
  1833. 9'H0DF : pc_rd_segment_begin_addr_h <= cmd_iowr_d[15:0];
  1834. //@apireg:group:title LA
  1835. //@apireg:title PC_RD_SEGMENT_BEGIN_ADDR_L
  1836. //@apireg:software:name DdrSegmentStartAddrL
  1837. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的起始地址低16位
  1838. //@apireg:desc abs-addr:0X8B80; none
  1839. //@apireg:note reg_hw_name:pc_rd_segment_begin_addr_l
  1840. //@apireg:0xaddr 0X8800 | (((0XE0&0XFF) << 2) | ((0XE0&0X100) << 6))
  1841. 9'H0E0 : pc_rd_segment_begin_addr_l <= cmd_iowr_d[15:0];
  1842. //@apireg:group:title LA
  1843. //@apireg:title PC_RD_CTRL_DEPTH_H
  1844. //@apireg:software:name DdrSegmentAddrLengthH
  1845. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的存储深度高12位
  1846. //@apireg:desc abs-addr:0X8B84; none
  1847. //@apireg:note reg_hw_name:pc_rd_ctrl_depth_h
  1848. //@apireg:0xaddr 0X8800 | (((0XE1&0XFF) << 2) | ((0XE1&0X100) << 6))
  1849. 9'H0E1 : pc_rd_ctrl_depth_h <= cmd_iowr_d[15:0];
  1850. //@apireg:group:title LA
  1851. //@apireg:title PC_RD_CTRL_DEPTH_L
  1852. //@apireg:software:name DdrSegmentAddrLengthL
  1853. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的存储深度低16位
  1854. //@apireg:desc abs-addr:0X8B88; none
  1855. //@apireg:note reg_hw_name:pc_rd_ctrl_depth_l
  1856. //@apireg:0xaddr 0X8800 | (((0XE2&0XFF) << 2) | ((0XE2&0X100) << 6))
  1857. 9'H0E2 : pc_rd_ctrl_depth_l <= cmd_iowr_d[15:0];
  1858. //@apireg:group:title LA
  1859. //@apireg:title PC_MIG_SYS_RST_N
  1860. //@apireg:software:name DdrMigReset
  1861. //@apireg:value:appoint bit-width:1 ; ddr3 mig复位,低有效
  1862. //@apireg:desc abs-addr:0X8B98; none
  1863. //@apireg:note reg_hw_name:pc_mig_sys_rst_n
  1864. //@apireg:0xaddr 0X8800 | (((0XE6&0XFF) << 2) | ((0XE6&0X100) << 6))
  1865. 9'H0E6 : pc_mig_sys_rst_n <= cmd_iowr_d[0:0];
  1866. //@apireg:group:title LA
  1867. //@apireg:title LA_DDR3_PK_DECIMATION
  1868. //@apireg:software:name DdrPkDecimationHd
  1869. //@apireg:value:appoint bit-width:16 ; ddr3 后抽抽取比高16位
  1870. //@apireg:desc abs-addr:0X8B9C; none
  1871. //@apireg:note reg_hw_name:la_ddr3_pk_decimation
  1872. //@apireg:0xaddr 0X8800 | (((0XE7&0XFF) << 2) | ((0XE7&0X100) << 6))
  1873. 9'H0E7 : la_ddr3_pk_decimation <= cmd_iowr_d[15:0];
  1874. //@apireg:group:title LA
  1875. //@apireg:title LA_DDR3_PK_MODE
  1876. //@apireg:software:name DdrPkMode
  1877. //@apireg:value:appoint bit-width:16 ; ddr3 后抽抽取比低16位
  1878. //@apireg:desc abs-addr:0X8BA0; none
  1879. //@apireg:note reg_hw_name:la_ddr3_pk_mode
  1880. //@apireg:0xaddr 0X8800 | (((0XE8&0XFF) << 2) | ((0XE8&0X100) << 6))
  1881. 9'H0E8 : la_ddr3_pk_mode <= cmd_iowr_d[15:0];
  1882. //@apireg:group:title LA
  1883. //@apireg:title LA_GTRXCDRHOLD
  1884. //@apireg:software:name GTRXCDRHOLD
  1885. //@apireg:value:appoint bit-width:1 ; 1bit,界面可控,初始值0;la数据稳定后,可在界面上设置为1
  1886. //@apireg:desc abs-addr:0XC960; 初始化时复位一次,,,,
  1887. //@apireg:note reg_hw_name:la_gtrxcdrhold
  1888. //@apireg:0xaddr 0X8800 | (((0X158&0XFF) << 2) | ((0X158&0X100) << 6))
  1889. 9'H158 : la_gtrxcdrhold <= cmd_iowr_d[0:0];
  1890. //@apireg:group:title LA
  1891. //@apireg:title SOFT_NORMAL_DISCARD_NUM
  1892. //@apireg:software:name SoftNormalDiscardNum
  1893. //@apireg:value:appoint bit-width:8 ; 时域一级触发软件丢点值
  1894. //@apireg:desc abs-addr:0XC97C; none
  1895. //@apireg:note reg_hw_name:soft_normal_discard_num
  1896. //@apireg:0xaddr 0X8800 | (((0X15F&0XFF) << 2) | ((0X15F&0X100) << 6))
  1897. 9'H15F : soft_normal_discard_num <= cmd_iowr_d[7:0];
  1898. //@apireg:group:title LA
  1899. //@apireg:title INTER_MULTIPLE
  1900. //@apireg:software:name InterMultiple
  1901. //@apireg:value:appoint bit-width:9 ; 插值倍数
  1902. //@apireg:desc abs-addr:0XC980; none
  1903. //@apireg:note reg_hw_name:inter_multiple
  1904. //@apireg:0xaddr 0X8800 | (((0X160&0XFF) << 2) | ((0X160&0X100) << 6))
  1905. 9'H160 : inter_multiple <= cmd_iowr_d[8:0];
  1906. //@apireg:group:title LA
  1907. //@apireg:title NORMAL_INTERPOLATION_SET
  1908. //@apireg:software:name NormalInterpolationSet
  1909. //@apireg:value:appoint bit-width:3 ; 是否为插值档 1:插值;0:非插值
  1910. //@apireg:desc abs-addr:0XC984; none
  1911. //@apireg:note reg_hw_name:normal_interpolation_set
  1912. //@apireg:0xaddr 0X8800 | (((0X161&0XFF) << 2) | ((0X161&0X100) << 6))
  1913. 9'H161 : normal_interpolation_set <= cmd_iowr_d[2:0];
  1914. //@apireg:group:title LA
  1915. //@apireg:title TRIG_MODULE_LA_TRIG_PREDEPTH_SET_H16
  1916. //@apireg:software:name LaTrigPredepthSetH
  1917. //@apireg:value:appoint bit-width:16 ; la一级预触发深度高16bit
  1918. //@apireg:desc abs-addr:0XC988; none
  1919. //@apireg:note reg_hw_name:trig_module_la_trig_predepth_set_h16
  1920. //@apireg:0xaddr 0X8800 | (((0X162&0XFF) << 2) | ((0X162&0X100) << 6))
  1921. 9'H162 : trig_module_la_trig_predepth_set_h16 <= cmd_iowr_d[15:0];
  1922. //@apireg:group:title LA
  1923. //@apireg:title TRIG_MODULE_LA_TRIG_PREDEPTH_SET_L16
  1924. //@apireg:software:name LaTrigPredepthSetL
  1925. //@apireg:value:appoint bit-width:16 ; la一级预触发深度低16bit
  1926. //@apireg:desc abs-addr:0XC98C; none
  1927. //@apireg:note reg_hw_name:trig_module_la_trig_predepth_set_l16
  1928. //@apireg:0xaddr 0X8800 | (((0X163&0XFF) << 2) | ((0X163&0X100) << 6))
  1929. 9'H163 : trig_module_la_trig_predepth_set_l16 <= cmd_iowr_d[15:0];
  1930. //@apireg:group:title LA
  1931. //@apireg:title TRIG_MODULE_LA_TRIG_PREDEPTH_SET_M16
  1932. //@apireg:software:name LaTrigPredepthSetM
  1933. //@apireg:value:appoint bit-width:16 ; la一级预触发深度中16bit
  1934. //@apireg:desc abs-addr:0XC990; none
  1935. //@apireg:note reg_hw_name:trig_module_la_trig_predepth_set_m16
  1936. //@apireg:0xaddr 0X8800 | (((0X164&0XFF) << 2) | ((0X164&0X100) << 6))
  1937. 9'H164 : trig_module_la_trig_predepth_set_m16 <= cmd_iowr_d[15:0];
  1938. //@apireg:group:title LA
  1939. //@apireg:title LA_POST_INTER_EN
  1940. //@apireg:software:name la_post_inter_en_dbi20g
  1941. //@apireg:value:appoint bit-width:1 ; la插值使能 1:开 ;0:关
  1942. //@apireg:desc abs-addr:0XC994; none
  1943. //@apireg:note reg_hw_name:la_post_inter_en
  1944. //@apireg:0xaddr 0X8800 | (((0X165&0XFF) << 2) | ((0X165&0X100) << 6))
  1945. 9'H165 : la_post_inter_en <= cmd_iowr_d[0:0];
  1946. //@apireg:group:title LA
  1947. //@apireg:title PC_WR_POS_DEPTH_H
  1948. //@apireg:software:name DdrWritePosDepthH
  1949. //@apireg:value:appoint bit-width:16 ; 写数据的后触发深度高16位
  1950. //@apireg:desc abs-addr:0XC998; none
  1951. //@apireg:note reg_hw_name:pc_wr_pos_depth_h
  1952. //@apireg:0xaddr 0X8800 | (((0X166&0XFF) << 2) | ((0X166&0X100) << 6))
  1953. 9'H166 : pc_wr_pos_depth_h <= cmd_iowr_d[15:0];
  1954. //@apireg:group:title LA
  1955. //@apireg:title PC_WR_POS_DEPTH_L
  1956. //@apireg:software:name DdrWritePosDepthL
  1957. //@apireg:value:appoint bit-width:16 ; 写数据的后触发深度低16位
  1958. //@apireg:desc abs-addr:0XC99C; none
  1959. //@apireg:note reg_hw_name:pc_wr_pos_depth_l
  1960. //@apireg:0xaddr 0X8800 | (((0X167&0XFF) << 2) | ((0X167&0X100) << 6))
  1961. 9'H167 : pc_wr_pos_depth_l <= cmd_iowr_d[15:0];
  1962. //@apireg:group:title LA
  1963. //@apireg:title PC_FINE_H16
  1964. //@apireg:software:name PcFineH
  1965. //@apireg:value:appoint bit-width:16 ; ms2g:0.1浮点数下发高16位
  1966. //@apireg:desc abs-addr:0XC9A0; none
  1967. //@apireg:note reg_hw_name:pc_fine_h16
  1968. //@apireg:0xaddr 0X8800 | (((0X168&0XFF) << 2) | ((0X168&0X100) << 6))
  1969. 9'H168 : pc_fine_h16 <= cmd_iowr_d[15:0];
  1970. //@apireg:group:title LA
  1971. //@apireg:title PC_FINE_L16
  1972. //@apireg:software:name PcFineL
  1973. //@apireg:value:appoint bit-width:16 ; ms2g:0.1浮点数下发低16位
  1974. //@apireg:desc abs-addr:0XC9A4; none
  1975. //@apireg:note reg_hw_name:pc_fine_l16
  1976. //@apireg:0xaddr 0X8800 | (((0X169&0XFF) << 2) | ((0X169&0X100) << 6))
  1977. 9'H169 : pc_fine_l16 <= cmd_iowr_d[15:0];
  1978. //@apireg:group:title LSCtrl
  1979. //@apireg:title DDR_FAST_TRANS_CH_SEL
  1980. //@apireg:software:name FastChSelect
  1981. //@apireg:value:appoint bit-width:8 ; 快传选择要传输的数据模拟通道,0对于ch1,1对于ch2,以此类推
  1982. //@apireg:desc abs-addr:0X88E8; none
  1983. //@apireg:note reg_hw_name:ddr_fast_trans_ch_sel
  1984. //@apireg:0xaddr 0X8800 | (((0X3A&0XFF) << 2) | ((0X3A&0X100) << 6))
  1985. 9'H03A : ddr_fast_trans_ch_sel <= cmd_iowr_d[7:0];
  1986. //@apireg:group:title LSCtrl
  1987. //@apireg:title FAST_EN
  1988. //@apireg:software:name Enable
  1989. //@apireg:value:appoint bit-width:1 ; 1bit,0:normal,1:ddr
  1990. //@apireg:desc abs-addr:0X88EC; ddr模式开关 ,0为普通模式,1为ddr模式,,,,
  1991. //@apireg:note reg_hw_name:fast_en
  1992. //@apireg:0xaddr 0X8800 | (((0X3B&0XFF) << 2) | ((0X3B&0X100) << 6))
  1993. 9'H03B : fast_en <= cmd_iowr_d[0:0];
  1994. //@apireg:group:title LSCtrl
  1995. //@apireg:title PC_DDR_PRO_FAST_TRANS_EN
  1996. //@apireg:software:name pc_ddr_pro_fast_trans_en
  1997. //@apireg:value:appoint bit-width:1 ; 1bit,1:active
  1998. //@apireg:desc abs-addr:0X88F0; 发1选择处理板快速传输链路,用于传原始采样点、波形搜索结果、触发地址,,,,
  1999. //@apireg:note reg_hw_name:pc_ddr_pro_fast_trans_en
  2000. //@apireg:0xaddr 0X8800 | (((0X3C&0XFF) << 2) | ((0X3C&0X100) << 6))
  2001. 9'H03C : pc_ddr_pro_fast_trans_en <= cmd_iowr_d[0:0];
  2002. //@apireg:group:title FIFO
  2003. //@apireg:title PRO_DATA_FD_FIFO_EMPTY_THRESH
  2004. //@apireg:software:name FIFOProgEmptyThresh
  2005. //@apireg:value:appoint bit-width:14 ; 14bits,与stft步进有关
  2006. //@apireg:desc abs-addr:0X88F4; none
  2007. //@apireg:note reg_hw_name:pro_data_fd_fifo_empty_thresh
  2008. //@apireg:0xaddr 0X8800 | (((0X3D&0XFF) << 2) | ((0X3D&0X100) << 6))
  2009. 9'H03D : pro_data_fd_fifo_empty_thresh <= cmd_iowr_d[13:0];
  2010. //@apireg:group:title FIFO
  2011. //@apireg:title PRO_DATA_FD_FIFO_FULL_THRESH
  2012. //@apireg:software:name FIFOProgFullThresh
  2013. //@apireg:value:appoint bit-width:14 ; 14bits,最大16384
  2014. //@apireg:desc abs-addr:0X88F8; none
  2015. //@apireg:note reg_hw_name:pro_data_fd_fifo_full_thresh
  2016. //@apireg:0xaddr 0X8800 | (((0X3E&0XFF) << 2) | ((0X3E&0X100) << 6))
  2017. 9'H03E : pro_data_fd_fifo_full_thresh <= cmd_iowr_d[13:0];
  2018. //@apireg:group:title STFT
  2019. //@apireg:title MD8G_PRO_DATA_CHOOSE
  2020. //@apireg:software:name DataChoose
  2021. //@apireg:value:appoint bit-width:8 ; 4bit,bit3表示频域或时域数据选择,0表示时域,1表示频域;bit2-0表示选择模拟通道
  2022. //@apireg:desc abs-addr:0X88FC; none
  2023. //@apireg:note reg_hw_name:md8g_pro_data_choose
  2024. //@apireg:0xaddr 0X8800 | (((0X3F&0XFF) << 2) | ((0X3F&0X100) << 6))
  2025. 9'H03F : md8g_pro_data_choose <= cmd_iowr_d[7:0];
  2026. //@apireg:group:title STFT
  2027. //@apireg:title COEFFICIENT_DATAIN_H16
  2028. //@apireg:software:name CoefficientDataInH16
  2029. //@apireg:value:appoint bit-width:16 ; 16bits,窗函数系数高16位
  2030. //@apireg:desc abs-addr:0X8900; none
  2031. //@apireg:note reg_hw_name:coefficient_datain_h16
  2032. //@apireg:0xaddr 0X8800 | (((0X40&0XFF) << 2) | ((0X40&0X100) << 6))
  2033. 9'H040 : coefficient_datain_h16 <= cmd_iowr_d[15:0];
  2034. //@apireg:group:title STFT
  2035. //@apireg:title COEFFICIENT_DATAIN_L16
  2036. //@apireg:software:name CoefficientDataInL16
  2037. //@apireg:value:appoint bit-width:16 ; 16bits,窗函数系数低16位
  2038. //@apireg:desc abs-addr:0X8904; none
  2039. //@apireg:note reg_hw_name:coefficient_datain_l16
  2040. //@apireg:0xaddr 0X8800 | (((0X41&0XFF) << 2) | ((0X41&0X100) << 6))
  2041. 9'H041 : coefficient_datain_l16 <= cmd_iowr_d[15:0];
  2042. //@apireg:group:title STFT
  2043. //@apireg:title COEFFICIENT_DATA_WREN
  2044. //@apireg:software:name CoefficientDataWREN
  2045. //@apireg:value:appoint bit-width:1 ; 1bit,窗函数写使能
  2046. //@apireg:desc abs-addr:0X8908; none
  2047. //@apireg:note reg_hw_name:coefficient_data_wren
  2048. //@apireg:0xaddr 0X8800 | (((0X42&0XFF) << 2) | ((0X42&0X100) << 6))
  2049. 9'H042 : coefficient_data_wren <= cmd_iowr_d[0:0];
  2050. //@apireg:group:title STFT
  2051. //@apireg:title FFT_CONFIG_START
  2052. //@apireg:software:name FFTConfigStart
  2053. //@apireg:value:appoint bit-width:1 ; 1bit, fft核开始配置
  2054. //@apireg:desc abs-addr:0X890C; none
  2055. //@apireg:note reg_hw_name:fft_config_start
  2056. //@apireg:0xaddr 0X8800 | (((0X43&0XFF) << 2) | ((0X43&0X100) << 6))
  2057. 9'H043 : fft_config_start <= cmd_iowr_d[0:0];
  2058. //@apireg:group:title STFT
  2059. //@apireg:title FFT_PARAM_DIR
  2060. //@apireg:software:name FFTParamDir
  2061. //@apireg:value:appoint bit-width:1 ; 1bit,为1时选择fft,为0时选择ifft
  2062. //@apireg:desc abs-addr:0X8910; none
  2063. //@apireg:note reg_hw_name:fft_param_dir
  2064. //@apireg:0xaddr 0X8800 | (((0X44&0XFF) << 2) | ((0X44&0X100) << 6))
  2065. 9'H044 : fft_param_dir <= cmd_iowr_d[0:0];
  2066. //@apireg:group:title STFT
  2067. //@apireg:title FFT_PARAM_NFFT
  2068. //@apireg:software:name FFTParamNFFT
  2069. //@apireg:value:appoint bit-width:5 ; 5bits,配置fft核的nfft,0~16;需和fft点数相对应
  2070. //@apireg:desc abs-addr:0X8914; none
  2071. //@apireg:note reg_hw_name:fft_param_nfft
  2072. //@apireg:0xaddr 0X8800 | (((0X45&0XFF) << 2) | ((0X45&0X100) << 6))
  2073. 9'H045 : fft_param_nfft <= cmd_iowr_d[4:0];
  2074. //@apireg:group:title STFT
  2075. //@apireg:title FFT_PARAM_POINTNUM
  2076. //@apireg:software:name FFTParamPointNum
  2077. //@apireg:value:appoint bit-width:14 ; 11bits,fft单轮运算点数
  2078. //@apireg:desc abs-addr:0X8918; none
  2079. //@apireg:note reg_hw_name:fft_param_pointnum
  2080. //@apireg:0xaddr 0X8800 | (((0X46&0XFF) << 2) | ((0X46&0X100) << 6))
  2081. 9'H046 : fft_param_pointnum <= cmd_iowr_d[13:0];
  2082. //@apireg:group:title STFT
  2083. //@apireg:title FFT_PARAM_SCALESCH
  2084. //@apireg:software:name FFTParamScaleSCH
  2085. //@apireg:value:appoint bit-width:16 ; 10bits,配置数据缩放比例;1024个点,radix-4,一共5级,每级2bit
  2086. //@apireg:desc abs-addr:0X891C; none
  2087. //@apireg:note reg_hw_name:fft_param_scalesch
  2088. //@apireg:0xaddr 0X8800 | (((0X47&0XFF) << 2) | ((0X47&0X100) << 6))
  2089. 9'H047 : fft_param_scalesch <= cmd_iowr_d[15:0];
  2090. //@apireg:group:title STFT
  2091. //@apireg:title FFT_TIMES
  2092. //@apireg:software:name FFTTimes
  2093. //@apireg:value:appoint bit-width:8 ; 8bits,设置fft运算次数,最大为256
  2094. //@apireg:desc abs-addr:0X8920; none
  2095. //@apireg:note reg_hw_name:fft_times
  2096. //@apireg:0xaddr 0X8800 | (((0X48&0XFF) << 2) | ((0X48&0X100) << 6))
  2097. 9'H048 : fft_times <= cmd_iowr_d[7:0];
  2098. //@apireg:group:title STFT
  2099. //@apireg:title STFT_CALC_START
  2100. //@apireg:software:name STFTCalcStart
  2101. //@apireg:value:appoint bit-width:1 ; 1bit,fft开始运算
  2102. //@apireg:desc abs-addr:0X8924; none
  2103. //@apireg:note reg_hw_name:stft_calc_start
  2104. //@apireg:0xaddr 0X8800 | (((0X49&0XFF) << 2) | ((0X49&0X100) << 6))
  2105. 9'H049 : stft_calc_start <= cmd_iowr_d[0:0];
  2106. //@apireg:group:title STFT
  2107. //@apireg:title STFT_DATA_SELECT
  2108. //@apireg:software:name STFTDataSelect
  2109. //@apireg:value:appoint bit-width:4 ; 4bits,4'b0001:输入数据直接输出;4'b0010:fft处理后的im&re;4'b0100:fft处理后的amp&pha;4'b1000:输入数据i/q的amp&pha
  2110. //@apireg:desc abs-addr:0X8928; none
  2111. //@apireg:note reg_hw_name:stft_data_select
  2112. //@apireg:0xaddr 0X8800 | (((0X4A&0XFF) << 2) | ((0X4A&0X100) << 6))
  2113. 9'H04A : stft_data_select <= cmd_iowr_d[3:0];
  2114. //@apireg:group:title STFT
  2115. //@apireg:title STFT_STEP
  2116. //@apireg:software:name STFTStep
  2117. //@apireg:value:appoint bit-width:14 ; 7bits,设置fft运算的步进
  2118. //@apireg:desc abs-addr:0X892C; none
  2119. //@apireg:note reg_hw_name:stft_step
  2120. //@apireg:0xaddr 0X8800 | (((0X4B&0XFF) << 2) | ((0X4B&0X100) << 6))
  2121. 9'H04B : stft_step <= cmd_iowr_d[13:0];
  2122. //@apireg:group:title PowerManager
  2123. //@apireg:title ACQBOARDPOWERCTRL
  2124. //@apireg:software:name AcqBoardPowerCtrl
  2125. //@apireg:value:appoint bit-width:8 ; bit0:cpci1_power_load_en ; bit1:cpci1_fpga_load_en ; bit2:cpci2_power_load_en ; bit3:cpci2_fpga_load_en ; bit4:cpci3_power_load_en ; bit5:cpci3_fpga_load_en ; bit6:cpci4_power_load_en ; bit7:cpci4_fpga_load_en
  2126. //@apireg:desc abs-addr:0X8930; 00 断电, FF上电,,,,
  2127. //@apireg:note reg_hw_name:acqboardpowerctrl
  2128. //@apireg:0xaddr 0X8800 | (((0X4C&0XFF) << 2) | ((0X4C&0X100) << 6))
  2129. 9'H04C : acqboardpowerctrl <= cmd_iowr_d[7:0];
  2130. //@apireg:group:title RegMonitor
  2131. //@apireg:title PRO_READ_WREG_ADDR
  2132. //@apireg:software:name RegAddress
  2133. //@apireg:value:appoint bit-width:16 ; read back write-register
  2134. //@apireg:desc abs-addr:0X8934; 读回下发寄存器的值,,,,
  2135. //@apireg:note reg_hw_name:pro_read_wreg_addr
  2136. //@apireg:0xaddr 0X8800 | (((0X4D&0XFF) << 2) | ((0X4D&0X100) << 6))
  2137. 9'H04D : pro_read_wreg_addr <= cmd_iowr_d[15:0];
  2138. //@apireg:group:title Scan
  2139. //@apireg:title SCAN_DATACOUNT_LATCH
  2140. //@apireg:software:name DatacountLatch
  2141. //@apireg:value:appoint bit-width:1 ; 0:关闭锁存 ; 1: 开启锁存
  2142. //@apireg:desc abs-addr:0X8938; 在上升沿进行数据计数值锁存,,,,
  2143. //@apireg:note reg_hw_name:scan_datacount_latch
  2144. //@apireg:0xaddr 0X8800 | (((0X4E&0XFF) << 2) | ((0X4E&0X100) << 6))
  2145. 9'H04E : scan_datacount_latch <= cmd_iowr_d[0:0];
  2146. //@apireg:group:title Scan
  2147. //@apireg:title SCAN_DATACOUNT_PASSBACK
  2148. //@apireg:software:name DatacountPassback
  2149. //@apireg:value:appoint bit-width:15 ; 15bit : 开启读使能标志 ; 低14bit:返回用于决定读取个数的当前datacount值
  2150. //@apireg:desc abs-addr:0X893C; 开启使能标志上升沿作为开启softfifo的ren标志,,,,
  2151. //@apireg:note reg_hw_name:scan_datacount_passback
  2152. //@apireg:0xaddr 0X8800 | (((0X4F&0XFF) << 2) | ((0X4F&0X100) << 6))
  2153. 9'H04F : scan_datacount_passback <= cmd_iowr_d[14:0];
  2154. //@apireg:group:title Scan
  2155. //@apireg:title PRO_SCAN_ENABLE
  2156. //@apireg:software:name ProScanEnable
  2157. //@apireg:value:appoint bit-width:1 ; 0:正常采集板传输模式 ; 1:scan模式开启
  2158. //@apireg:desc abs-addr:0X8940; none
  2159. //@apireg:note reg_hw_name:pro_scan_enable
  2160. //@apireg:0xaddr 0X8800 | (((0X50&0XFF) << 2) | ((0X50&0X100) << 6))
  2161. 9'H050 : pro_scan_enable <= cmd_iowr_d[0:0];
  2162. //@apireg:group:title SerdesSync
  2163. //@apireg:title PRO_ISERDES_SCAN_LENGTH
  2164. //@apireg:software:name pro_iserdes_scan_length
  2165. //@apireg:value:appoint bit-width:8 ; idelay的扫窗长度,扫窗确定合适延迟值后,需要达到扫窗长度的次数后才能确定改值有效,输出同步完成信号
  2166. //@apireg:desc abs-addr:0X8944; none
  2167. //@apireg:note reg_hw_name:pro_iserdes_scan_length
  2168. //@apireg:0xaddr 0X8800 | (((0X51&0XFF) << 2) | ((0X51&0X100) << 6))
  2169. 9'H051 : pro_iserdes_scan_length <= cmd_iowr_d[7:0];
  2170. //@apireg:group:title SerdesSync
  2171. //@apireg:title PRO_ISERDES_SYNC_EN
  2172. //@apireg:software:name pro_iserdes_sync_en
  2173. //@apireg:value:appoint bit-width:1 ; 处理板iserdes开始同步使能,边沿有效,上升沿开始同步,下降沿结束同步
  2174. //@apireg:desc abs-addr:0X8948; 板间通信同步使能,边沿有效,,,,
  2175. //@apireg:note reg_hw_name:pro_iserdes_sync_en
  2176. //@apireg:0xaddr 0X8800 | (((0X52&0XFF) << 2) | ((0X52&0X100) << 6))
  2177. 9'H052 : pro_iserdes_sync_en <= cmd_iowr_d[0:0];
  2178. //@apireg:group:title SerdesSync
  2179. //@apireg:title PRO_ISERDES_TAP_START
  2180. //@apireg:software:name pro_iserdes_TAP_start
  2181. //@apireg:value:appoint bit-width:5 ; idelay的扫窗延迟最小值
  2182. //@apireg:desc abs-addr:0X894C; none
  2183. //@apireg:note reg_hw_name:pro_iserdes_tap_start
  2184. //@apireg:0xaddr 0X8800 | (((0X53&0XFF) << 2) | ((0X53&0X100) << 6))
  2185. 9'H053 : pro_iserdes_tap_start <= cmd_iowr_d[4:0];
  2186. //@apireg:group:title SerdesSync
  2187. //@apireg:title PRO_ISERDES_TAP_STOP
  2188. //@apireg:software:name pro_iserdes_TAP_stop
  2189. //@apireg:value:appoint bit-width:5 ; idelay的扫窗延迟最大值
  2190. //@apireg:desc abs-addr:0X8950; none
  2191. //@apireg:note reg_hw_name:pro_iserdes_tap_stop
  2192. //@apireg:0xaddr 0X8800 | (((0X54&0XFF) << 2) | ((0X54&0X100) << 6))
  2193. 9'H054 : pro_iserdes_tap_stop <= cmd_iowr_d[4:0];
  2194. //@apireg:group:title SyncDataRxIDelay
  2195. //@apireg:title PRO_IN_DELAY_DATA_CE1
  2196. //@apireg:software:name CE1
  2197. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  2198. //@apireg:desc abs-addr:0X8954; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  2199. //@apireg:note reg_hw_name:pro_in_delay_data_ce1
  2200. //@apireg:0xaddr 0X8800 | (((0X55&0XFF) << 2) | ((0X55&0X100) << 6))
  2201. 9'H055 : pro_in_delay_data_ce1 <= cmd_iowr_d[0:0];
  2202. //@apireg:group:title SyncDataRxIDelay
  2203. //@apireg:title PRO_IN_DELAY_DATA_CE3
  2204. //@apireg:software:name CE3
  2205. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  2206. //@apireg:desc abs-addr:0X8958; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  2207. //@apireg:note reg_hw_name:pro_in_delay_data_ce3
  2208. //@apireg:0xaddr 0X8800 | (((0X56&0XFF) << 2) | ((0X56&0X100) << 6))
  2209. 9'H056 : pro_in_delay_data_ce3 <= cmd_iowr_d[0:0];
  2210. //@apireg:group:title SyncDataRxIDelay
  2211. //@apireg:title PRO_IN_DELAY_DATA_CE5
  2212. //@apireg:software:name CE5
  2213. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  2214. //@apireg:desc abs-addr:0X895C; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  2215. //@apireg:note reg_hw_name:pro_in_delay_data_ce5
  2216. //@apireg:0xaddr 0X8800 | (((0X57&0XFF) << 2) | ((0X57&0X100) << 6))
  2217. 9'H057 : pro_in_delay_data_ce5 <= cmd_iowr_d[0:0];
  2218. //@apireg:group:title SyncDataRxIDelay
  2219. //@apireg:title PRO_IN_DELAY_DATA_CE7
  2220. //@apireg:software:name CE7
  2221. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  2222. //@apireg:desc abs-addr:0X8960; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  2223. //@apireg:note reg_hw_name:pro_in_delay_data_ce7
  2224. //@apireg:0xaddr 0X8800 | (((0X58&0XFF) << 2) | ((0X58&0X100) << 6))
  2225. 9'H058 : pro_in_delay_data_ce7 <= cmd_iowr_d[0:0];
  2226. //@apireg:group:title SyncDataRxIDelay
  2227. //@apireg:title PRO_CNTVALUEIN1
  2228. //@apireg:software:name Count1
  2229. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  2230. //@apireg:desc abs-addr:0X8964; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  2231. //@apireg:note reg_hw_name:pro_cntvaluein1
  2232. //@apireg:0xaddr 0X8800 | (((0X59&0XFF) << 2) | ((0X59&0X100) << 6))
  2233. 9'H059 : pro_cntvaluein1 <= cmd_iowr_d[4:0];
  2234. //@apireg:group:title SyncDataRxIDelay
  2235. //@apireg:title PRO_CNTVALUEIN3
  2236. //@apireg:software:name Count3
  2237. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  2238. //@apireg:desc abs-addr:0X8968; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  2239. //@apireg:note reg_hw_name:pro_cntvaluein3
  2240. //@apireg:0xaddr 0X8800 | (((0X5A&0XFF) << 2) | ((0X5A&0X100) << 6))
  2241. 9'H05A : pro_cntvaluein3 <= cmd_iowr_d[4:0];
  2242. //@apireg:group:title SyncDataRxIDelay
  2243. //@apireg:title PRO_CNTVALUEIN5
  2244. //@apireg:software:name Count5
  2245. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  2246. //@apireg:desc abs-addr:0X896C; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  2247. //@apireg:note reg_hw_name:pro_cntvaluein5
  2248. //@apireg:0xaddr 0X8800 | (((0X5B&0XFF) << 2) | ((0X5B&0X100) << 6))
  2249. 9'H05B : pro_cntvaluein5 <= cmd_iowr_d[4:0];
  2250. //@apireg:group:title SyncDataRxIDelay
  2251. //@apireg:title PRO_CNTVALUEIN7
  2252. //@apireg:software:name Count7
  2253. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  2254. //@apireg:desc abs-addr:0X8970; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  2255. //@apireg:note reg_hw_name:pro_cntvaluein7
  2256. //@apireg:0xaddr 0X8800 | (((0X5C&0XFF) << 2) | ((0X5C&0X100) << 6))
  2257. 9'H05C : pro_cntvaluein7 <= cmd_iowr_d[4:0];
  2258. //@apireg:group:title SyncDataRxIDelay
  2259. //@apireg:title PRO_DATA_RX_IO_RESET
  2260. //@apireg:software:name RxIOReset
  2261. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  2262. //@apireg:desc abs-addr:0X8974; IDelaycCrl模块复位,高电平复位, ; 初始化时复位一次,,,,
  2263. //@apireg:note reg_hw_name:pro_data_rx_io_reset
  2264. //@apireg:0xaddr 0X8800 | (((0X5D&0XFF) << 2) | ((0X5D&0X100) << 6))
  2265. 9'H05D : pro_data_rx_io_reset <= cmd_iowr_d[0:0];
  2266. //@apireg:group:title SyncDataRxIDelay
  2267. //@apireg:title PRO_IN_DELAY_RESET
  2268. //@apireg:software:name SetEffect
  2269. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  2270. //@apireg:desc abs-addr:0X8978; 拉高生效.先设置数据,然后拉高生效。,,,,
  2271. //@apireg:note reg_hw_name:pro_in_delay_reset
  2272. //@apireg:0xaddr 0X8800 | (((0X5E&0XFF) << 2) | ((0X5E&0X100) << 6))
  2273. 9'H05E : pro_in_delay_reset <= cmd_iowr_d[0:0];
  2274. //@apireg:group:title SysInfo
  2275. //@apireg:title PRO_REG_READ_BACK
  2276. //@apireg:software:name WorkOKTest
  2277. //@apireg:value:appoint bit-width:16 ; 16bits_data
  2278. //@apireg:desc abs-addr:0XCBF8; SPI写数据(共24bit,分高低位) 低8位,,,,
  2279. //@apireg:note reg_hw_name:pro_reg_read_back
  2280. //@apireg:0xaddr 0X8800 | (((0X1FE&0XFF) << 2) | ((0X1FE&0X100) << 6))
  2281. 9'H1FE : pro_reg_read_back <= cmd_iowr_d[15:0];
  2282. //@apireg:group:title SysMon
  2283. //@apireg:title PRO_SYSMON_RST
  2284. //@apireg:software:name pro_sysmon_rst
  2285. //@apireg:value:appoint bit-width:1 ; 系统检测模块复位,1bit,高有效
  2286. //@apireg:desc abs-addr:0X897C; none
  2287. //@apireg:note reg_hw_name:pro_sysmon_rst
  2288. //@apireg:0xaddr 0X8800 | (((0X5F&0XFF) << 2) | ((0X5F&0X100) << 6))
  2289. 9'H05F : pro_sysmon_rst <= cmd_iowr_d[0:0];
  2290. //@apireg:group:title 1st
  2291. //@apireg:title TRIG_MODULE_TRIG_AUTO_EN
  2292. //@apireg:software:name AutoModeEnable
  2293. //@apireg:value:appoint bit-width:16 ; 1bit 1:auto triger,0: single triger
  2294. //@apireg:desc abs-addr:0X8980; 是否选择了自动触发模式, ; 当一定时间内没有产生触发时, ; 屏幕强制产生触发信号,刷新波形,,,,
  2295. //@apireg:note reg_hw_name:trig_module_trig_auto_en
  2296. //@apireg:0xaddr 0X8800 | (((0X60&0XFF) << 2) | ((0X60&0X100) << 6))
  2297. 9'H060 : trig_module_trig_auto_en <= cmd_iowr_d[15:0];
  2298. //@apireg:group:title 1st
  2299. //@apireg:title TRIG_MODULE_TRIG_CALI_VALUE
  2300. //@apireg:software:name CalibrationNum
  2301. //@apireg:value:appoint bit-width:8 ; 8bits
  2302. //@apireg:desc abs-addr:0X8984; 校正参数,设定的校正时间,触发信号到来之后继续 ; 读FIFO,用于修正实际触发点的固定偏移,,,,
  2303. //@apireg:note reg_hw_name:trig_module_trig_cali_value
  2304. //@apireg:0xaddr 0X8800 | (((0X61&0XFF) << 2) | ((0X61&0X100) << 6))
  2305. 9'H061 : trig_module_trig_cali_value <= cmd_iowr_d[7:0];
  2306. //@apireg:group:title 1st
  2307. //@apireg:title TRIG_MODULE_CALI_TRIG_DELAY_EN
  2308. //@apireg:software:name CaliTrigDelayEnable
  2309. //@apireg:value:appoint bit-width:1 ; 1bit 1:calibrate 0:off
  2310. //@apireg:desc abs-addr:0X8988; 校正参数使能,当使能有效时,修正数才起作用,,,,
  2311. //@apireg:note reg_hw_name:trig_module_cali_trig_delay_en
  2312. //@apireg:0xaddr 0X8800 | (((0X62&0XFF) << 2) | ((0X62&0X100) << 6))
  2313. 9'H062 : trig_module_cali_trig_delay_en <= cmd_iowr_d[0:0];
  2314. //@apireg:group:title 1st
  2315. //@apireg:title TRIG_MODULE_TRI_FORCE
  2316. //@apireg:software:name ForceTrigEnable
  2317. //@apireg:value:appoint bit-width:1 ; 1bit 1:force triger 高有效
  2318. //@apireg:desc abs-addr:0X898C; 无论是否产生触发,都输出一个强制触发信号, ; 显示一帧波形,,,,
  2319. //@apireg:note reg_hw_name:trig_module_tri_force
  2320. //@apireg:0xaddr 0X8800 | (((0X63&0XFF) << 2) | ((0X63&0X100) << 6))
  2321. 9'H063 : trig_module_tri_force <= cmd_iowr_d[0:0];
  2322. //@apireg:group:title 1st
  2323. //@apireg:title TRIG_MODULE_TRI_HOLDOFF_TIME_H16
  2324. //@apireg:software:name HoldOffTimeH
  2325. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  2326. //@apireg:desc abs-addr:0X8990; 触发释抑参数, 触发释抑这段时间内不响应触发, ; 释抑结束后马上响应下一个触发沿,,,,
  2327. //@apireg:note reg_hw_name:trig_module_tri_holdoff_time_h16
  2328. //@apireg:0xaddr 0X8800 | (((0X64&0XFF) << 2) | ((0X64&0X100) << 6))
  2329. 9'H064 : trig_module_tri_holdoff_time_h16 <= cmd_iowr_d[15:0];
  2330. //@apireg:group:title 1st
  2331. //@apireg:title TRIG_MODULE_TRI_HOLDOFF_TIME_L16
  2332. //@apireg:software:name HoldOffTimeL
  2333. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0]
  2334. //@apireg:desc abs-addr:0X8994; 触发释抑参数, 触发释抑这段时间内不响应触发, ; 释抑结束后马上响应下一个触发沿,,,,
  2335. //@apireg:note reg_hw_name:trig_module_tri_holdoff_time_l16
  2336. //@apireg:0xaddr 0X8800 | (((0X65&0XFF) << 2) | ((0X65&0X100) << 6))
  2337. 9'H065 : trig_module_tri_holdoff_time_l16 <= cmd_iowr_d[15:0];
  2338. //@apireg:group:title 1st
  2339. //@apireg:title TRIG_MODULE_TRIG_POSDEPTH_SET_H16
  2340. //@apireg:software:name PosDepthSetH
  2341. //@apireg:value:appoint bit-width:16 ; 16bits 2:[47:32]
  2342. //@apireg:desc abs-addr:0X8998; 后触发深度,以4ns为单位的个数,,,,
  2343. //@apireg:note reg_hw_name:trig_module_trig_posdepth_set_h16
  2344. //@apireg:0xaddr 0X8800 | (((0X66&0XFF) << 2) | ((0X66&0X100) << 6))
  2345. 9'H066 : trig_module_trig_posdepth_set_h16 <= cmd_iowr_d[15:0];
  2346. //@apireg:group:title 1st
  2347. //@apireg:title TRIG_MODULE_TRIG_POSDEPTH_SET_L16
  2348. //@apireg:software:name PosDepthSetL
  2349. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0]
  2350. //@apireg:desc abs-addr:0X899C; 后触发深度,以4ns为单位的个数,,,,
  2351. //@apireg:note reg_hw_name:trig_module_trig_posdepth_set_l16
  2352. //@apireg:0xaddr 0X8800 | (((0X67&0XFF) << 2) | ((0X67&0X100) << 6))
  2353. 9'H067 : trig_module_trig_posdepth_set_l16 <= cmd_iowr_d[15:0];
  2354. //@apireg:group:title 1st
  2355. //@apireg:title TRIG_MODULE_TRIG_POSDEPTH_SET_M16
  2356. //@apireg:software:name PosDepthSetM
  2357. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  2358. //@apireg:desc abs-addr:0X89A0; 后触发深度,以4ns为单位的个数,,,,
  2359. //@apireg:note reg_hw_name:trig_module_trig_posdepth_set_m16
  2360. //@apireg:0xaddr 0X8800 | (((0X68&0XFF) << 2) | ((0X68&0X100) << 6))
  2361. 9'H068 : trig_module_trig_posdepth_set_m16 <= cmd_iowr_d[15:0];
  2362. //@apireg:group:title 1st
  2363. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET_H16
  2364. //@apireg:software:name PreDepthSetH
  2365. //@apireg:value:appoint bit-width:16 ; 16bits 2:[47:32]
  2366. //@apireg:desc abs-addr:0X89A4; 预触发深度,调节触发点在屏幕中的位置,,,,
  2367. //@apireg:note reg_hw_name:trig_module_trig_predepth_set_h16
  2368. //@apireg:0xaddr 0X8800 | (((0X69&0XFF) << 2) | ((0X69&0X100) << 6))
  2369. 9'H069 : trig_module_trig_predepth_set_h16 <= cmd_iowr_d[15:0];
  2370. //@apireg:group:title 1st
  2371. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET_L16
  2372. //@apireg:software:name PreDepthSetL
  2373. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0]
  2374. //@apireg:desc abs-addr:0X89A8; 预触发深度,调节触发点在屏幕中的位置,,,,
  2375. //@apireg:note reg_hw_name:trig_module_trig_predepth_set_l16
  2376. //@apireg:0xaddr 0X8800 | (((0X6A&0XFF) << 2) | ((0X6A&0X100) << 6))
  2377. 9'H06A : trig_module_trig_predepth_set_l16 <= cmd_iowr_d[15:0];
  2378. //@apireg:group:title 1st
  2379. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET_M16
  2380. //@apireg:software:name PreDepthSetM
  2381. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  2382. //@apireg:desc abs-addr:0X89AC; 预触发深度,调节触发点在屏幕中的位置,,,,
  2383. //@apireg:note reg_hw_name:trig_module_trig_predepth_set_m16
  2384. //@apireg:0xaddr 0X8800 | (((0X6B&0XFF) << 2) | ((0X6B&0X100) << 6))
  2385. 9'H06B : trig_module_trig_predepth_set_m16 <= cmd_iowr_d[15:0];
  2386. //@apireg:group:title 1st
  2387. //@apireg:title TRIG_MODULE_TRIG_RESET_N
  2388. //@apireg:software:name Reset
  2389. //@apireg:value:appoint bit-width:1 ; 1bit 0:active 低有效
  2390. //@apireg:desc abs-addr:0X89B0; 软件触发复位,,,,
  2391. //@apireg:note reg_hw_name:trig_module_trig_reset_n
  2392. //@apireg:0xaddr 0X8800 | (((0X6C&0XFF) << 2) | ((0X6C&0X100) << 6))
  2393. 9'H06C : trig_module_trig_reset_n <= cmd_iowr_d[0:0];
  2394. //@apireg:group:title 1st
  2395. //@apireg:title TRIG_1ST_ACQ_TRIG_OR_EXT_SEL
  2396. //@apireg:software:name SourceControl
  2397. //@apireg:value:appoint bit-width:5 ; 5bits:b[2:0]选择来自哪一块采集板:000,板一,001,板二,002,板三,003:板四,004:板五,006:板六
  2398. //@apireg:desc abs-addr:0X89B4; 1级触发采集路径选择,最高两位为00时选择触发来自采集板,,,,
  2399. //@apireg:note reg_hw_name:trig_1st_source_sel
  2400. //@apireg:0xaddr 0X8800 | (((0X6D&0XFF) << 2) | ((0X6D&0X100) << 6))
  2401. 9'H06D : trig_1st_source_sel <= cmd_iowr_d[4:0];
  2402. //@apireg:group:title 1st
  2403. //@apireg:title TRIG_EXT_SETTING
  2404. //@apireg:software:name trig_ext_setting
  2405. //@apireg:value:appoint bit-width:16 ; [15:0]外触发设置
  2406. //@apireg:desc abs-addr:0X8AA8; none
  2407. //@apireg:note reg_hw_name:trig_ext_setting
  2408. //@apireg:0xaddr 0X8800 | (((0XAA&0XFF) << 2) | ((0XAA&0X100) << 6))
  2409. 9'H0AA : trig_ext_setting <= cmd_iowr_d[15:0];
  2410. //@apireg:group:title 1st
  2411. //@apireg:title TRIG_1ST_AUTO_FAST_SETTING
  2412. //@apireg:software:name auto_fast
  2413. //@apireg:value:appoint bit-width:16 ; 16bits,[15] 1: 使能打开 0:使能关闭 ; [14:0] 计数个数
  2414. //@apireg:desc abs-addr:0X8AB0; 1级触发自动快速触发设置,,,,
  2415. //@apireg:note reg_hw_name:trig_1st_auto_fast_setting
  2416. //@apireg:0xaddr 0X8800 | (((0XAC&0XFF) << 2) | ((0XAC&0X100) << 6))
  2417. 9'H0AC : trig_1st_auto_fast_setting <= cmd_iowr_d[15:0];
  2418. //@apireg:group:title 1st
  2419. //@apireg:title REG_CH_OFFSET_ADJUST_EN
  2420. //@apireg:software:name adjust_en
  2421. //@apireg:value:appoint bit-width:1 ; 通道偏移功能使能 1:打开 0:关闭
  2422. //@apireg:desc abs-addr:0XC844; none
  2423. //@apireg:note reg_hw_name:reg_ch_offset_adjust_en
  2424. //@apireg:0xaddr 0X8800 | (((0X111&0XFF) << 2) | ((0X111&0X100) << 6))
  2425. 9'H111 : reg_ch_offset_adjust_en <= cmd_iowr_d[0:0];
  2426. //@apireg:group:title 1st
  2427. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET1_H16
  2428. //@apireg:software:name trig_predepth_set1_h16
  2429. //@apireg:value:appoint bit-width:16 ; 通道2偏移预触发设定
  2430. //@apireg:desc abs-addr:0XC848; none
  2431. //@apireg:note reg_hw_name:trig_module_trig_predepth_set1_h16
  2432. //@apireg:0xaddr 0X8800 | (((0X112&0XFF) << 2) | ((0X112&0X100) << 6))
  2433. 9'H112 : trig_module_trig_predepth_set1_h16 <= cmd_iowr_d[15:0];
  2434. //@apireg:group:title 1st
  2435. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET1_L16
  2436. //@apireg:software:name trig_predepth_set1_l16
  2437. //@apireg:value:appoint bit-width:16 ; 通道2偏移预触发设定
  2438. //@apireg:desc abs-addr:0XC84C; none
  2439. //@apireg:note reg_hw_name:trig_module_trig_predepth_set1_l16
  2440. //@apireg:0xaddr 0X8800 | (((0X113&0XFF) << 2) | ((0X113&0X100) << 6))
  2441. 9'H113 : trig_module_trig_predepth_set1_l16 <= cmd_iowr_d[15:0];
  2442. //@apireg:group:title 1st
  2443. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET1_M16
  2444. //@apireg:software:name trig_predepth_set1_m16
  2445. //@apireg:value:appoint bit-width:16 ; 通道2偏移预触发设定
  2446. //@apireg:desc abs-addr:0XC850; none
  2447. //@apireg:note reg_hw_name:trig_module_trig_predepth_set1_m16
  2448. //@apireg:0xaddr 0X8800 | (((0X114&0XFF) << 2) | ((0X114&0X100) << 6))
  2449. 9'H114 : trig_module_trig_predepth_set1_m16 <= cmd_iowr_d[15:0];
  2450. //@apireg:group:title 1st
  2451. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET2_H16
  2452. //@apireg:software:name trig_predepth_set2_h16
  2453. //@apireg:value:appoint bit-width:16 ; 通道3偏移预触发设定
  2454. //@apireg:desc abs-addr:0XC854; none
  2455. //@apireg:note reg_hw_name:trig_module_trig_predepth_set2_h16
  2456. //@apireg:0xaddr 0X8800 | (((0X115&0XFF) << 2) | ((0X115&0X100) << 6))
  2457. 9'H115 : trig_module_trig_predepth_set2_h16 <= cmd_iowr_d[15:0];
  2458. //@apireg:group:title 1st
  2459. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET2_L16
  2460. //@apireg:software:name trig_predepth_set2_l16
  2461. //@apireg:value:appoint bit-width:16 ; 通道3偏移预触发设定
  2462. //@apireg:desc abs-addr:0XC858; none
  2463. //@apireg:note reg_hw_name:trig_module_trig_predepth_set2_l16
  2464. //@apireg:0xaddr 0X8800 | (((0X116&0XFF) << 2) | ((0X116&0X100) << 6))
  2465. 9'H116 : trig_module_trig_predepth_set2_l16 <= cmd_iowr_d[15:0];
  2466. //@apireg:group:title 1st
  2467. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET2_M16
  2468. //@apireg:software:name trig_predepth_set2_m16
  2469. //@apireg:value:appoint bit-width:16 ; 通道3偏移预触发设定
  2470. //@apireg:desc abs-addr:0XC85C; none
  2471. //@apireg:note reg_hw_name:trig_module_trig_predepth_set2_m16
  2472. //@apireg:0xaddr 0X8800 | (((0X117&0XFF) << 2) | ((0X117&0X100) << 6))
  2473. 9'H117 : trig_module_trig_predepth_set2_m16 <= cmd_iowr_d[15:0];
  2474. //@apireg:group:title 1st
  2475. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET3_H16
  2476. //@apireg:software:name trig_predepth_set3_h16
  2477. //@apireg:value:appoint bit-width:16 ; 通道4偏移预触发设定
  2478. //@apireg:desc abs-addr:0XC860; none
  2479. //@apireg:note reg_hw_name:trig_module_trig_predepth_set3_h16
  2480. //@apireg:0xaddr 0X8800 | (((0X118&0XFF) << 2) | ((0X118&0X100) << 6))
  2481. 9'H118 : trig_module_trig_predepth_set3_h16 <= cmd_iowr_d[15:0];
  2482. //@apireg:group:title 1st
  2483. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET3_L16
  2484. //@apireg:software:name trig_predepth_set3_l16
  2485. //@apireg:value:appoint bit-width:16 ; 通道4偏移预触发设定
  2486. //@apireg:desc abs-addr:0XC864; none
  2487. //@apireg:note reg_hw_name:trig_module_trig_predepth_set3_l16
  2488. //@apireg:0xaddr 0X8800 | (((0X119&0XFF) << 2) | ((0X119&0X100) << 6))
  2489. 9'H119 : trig_module_trig_predepth_set3_l16 <= cmd_iowr_d[15:0];
  2490. //@apireg:group:title 1st
  2491. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET3_M16
  2492. //@apireg:software:name trig_predepth_set3_m16
  2493. //@apireg:value:appoint bit-width:16 ; 通道4偏移预触发设定
  2494. //@apireg:desc abs-addr:0XC868; none
  2495. //@apireg:note reg_hw_name:trig_module_trig_predepth_set3_m16
  2496. //@apireg:0xaddr 0X8800 | (((0X11A&0XFF) << 2) | ((0X11A&0X100) << 6))
  2497. 9'H11A : trig_module_trig_predepth_set3_m16 <= cmd_iowr_d[15:0];
  2498. //@apireg:group:title 2nd
  2499. //@apireg:title TRIG_2ND_AUTO_TRIG_EN
  2500. //@apireg:software:name AutoModeEnable
  2501. //@apireg:value:appoint bit-width:1 ; 1bit,1:auto_trig,0:normal_trig
  2502. //@apireg:desc abs-addr:0X89B8; 2级触发模式1:自动触发; 0:正常触发,,,,
  2503. //@apireg:note reg_hw_name:trig_2nd_auto_trig_en
  2504. //@apireg:0xaddr 0X8800 | (((0X6E&0XFF) << 2) | ((0X6E&0X100) << 6))
  2505. 9'H06E : trig_2nd_auto_trig_en <= cmd_iowr_d[0:0];
  2506. //@apireg:group:title 2nd
  2507. //@apireg:title TRIG_2ND_CMP1_LEVEL_L
  2508. //@apireg:software:name CompareVoltage1Down
  2509. //@apireg:value:appoint bit-width:12 ; 12bits,value_of_level
  2510. //@apireg:desc abs-addr:0X89BC; 2级触发电平低电平组:12位下限的以12Bit之4096为基准, ; 2048表示0电平,,,,
  2511. //@apireg:note reg_hw_name:trig_2nd_cmp1_level_l
  2512. //@apireg:0xaddr 0X8800 | (((0X6F&0XFF) << 2) | ((0X6F&0X100) << 6))
  2513. 9'H06F : trig_2nd_cmp1_level_l <= cmd_iowr_d[11:0];
  2514. //@apireg:group:title 2nd
  2515. //@apireg:title TRIG_2ND_CMP1_LEVEL_H
  2516. //@apireg:software:name CompareVoltage1Up
  2517. //@apireg:value:appoint bit-width:12 ; 12bits,value_of_level
  2518. //@apireg:desc abs-addr:0X89C0; 2级触发电平低电平组:12位上限的以12Bit之4096为基准, ; 2048表示0电平,,,,
  2519. //@apireg:note reg_hw_name:trig_2nd_cmp1_level_h
  2520. //@apireg:0xaddr 0X8800 | (((0X70&0XFF) << 2) | ((0X70&0X100) << 6))
  2521. 9'H070 : trig_2nd_cmp1_level_h <= cmd_iowr_d[11:0];
  2522. //@apireg:group:title 2nd
  2523. //@apireg:title TRIG_2ND_CMP2_LEVEL_L
  2524. //@apireg:software:name CompareVoltage2Down
  2525. //@apireg:value:appoint bit-width:12 ; 12bits value_of_level
  2526. //@apireg:desc abs-addr:0X89C4; 2级触发电平高电平组:用于需要两组触发电平的触发模式,如斜率,欠幅触发等,,,,
  2527. //@apireg:note reg_hw_name:trig_2nd_cmp2_level_l
  2528. //@apireg:0xaddr 0X8800 | (((0X71&0XFF) << 2) | ((0X71&0X100) << 6))
  2529. 9'H071 : trig_2nd_cmp2_level_l <= cmd_iowr_d[11:0];
  2530. //@apireg:group:title 2nd
  2531. //@apireg:title TRIG_2ND_CMP2_LEVEL_H
  2532. //@apireg:software:name CompareVoltage2Up
  2533. //@apireg:value:appoint bit-width:12 ; 12bits value_of_level
  2534. //@apireg:desc abs-addr:0X89C8; 2级触发电平高电平组:用于需要两组触发电平的触发模式,如斜率,欠幅触发等,,,,
  2535. //@apireg:note reg_hw_name:trig_2nd_cmp2_level_h
  2536. //@apireg:0xaddr 0X8800 | (((0X72&0XFF) << 2) | ((0X72&0X100) << 6))
  2537. 9'H072 : trig_2nd_cmp2_level_h <= cmd_iowr_d[11:0];
  2538. //@apireg:group:title 2nd
  2539. //@apireg:title TRIG_2ND_EDGE_TRIG_CHS
  2540. //@apireg:software:name EdgeSelect
  2541. //@apireg:value:appoint bit-width:16 ; 1bit,1:rising edge,0:falling edge 1x:any edge
  2542. //@apireg:desc abs-addr:0X89CC; 2级边沿触发极性选择:1:上升沿触发;0:下降沿触发,,,,
  2543. //@apireg:note reg_hw_name:trig_2nd_edge_trig_edge_sel
  2544. //@apireg:0xaddr 0X8800 | (((0X73&0XFF) << 2) | ((0X73&0X100) << 6))
  2545. 9'H073 : trig_2nd_edge_trig_edge_sel <= cmd_iowr_d[15:0];
  2546. //@apireg:group:title 2nd
  2547. //@apireg:title TRIG_2ND_PRETRIG_DEPTH
  2548. //@apireg:software:name PreDepth
  2549. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  2550. //@apireg:desc abs-addr:0X89D0; 2级触发预触发深度值,,,,
  2551. //@apireg:note reg_hw_name:trig_2nd_pretrig_depth
  2552. //@apireg:0xaddr 0X8800 | (((0X74&0XFF) << 2) | ((0X74&0X100) << 6))
  2553. 9'H074 : trig_2nd_pretrig_depth <= cmd_iowr_d[15:0];
  2554. //@apireg:group:title 2nd
  2555. //@apireg:title TRIG_2ND_AUTO_TRIG_NUM
  2556. //@apireg:software:name SearchRange
  2557. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  2558. //@apireg:desc abs-addr:0X89D4; 2级自动触发找点数,2级触发未来时,计数到该设置值后自动进行触发,,,,
  2559. //@apireg:note reg_hw_name:trig_2nd_auto_trig_num
  2560. //@apireg:0xaddr 0X8800 | (((0X75&0XFF) << 2) | ((0X75&0X100) << 6))
  2561. 9'H075 : trig_2nd_auto_trig_num <= cmd_iowr_d[15:0];
  2562. //@apireg:group:title 2nd
  2563. //@apireg:title TRIG_2ND_SERIAL_TRIG_EN
  2564. //@apireg:software:name SerialTrigEnable
  2565. //@apireg:value:appoint bit-width:4 ; 1bit,1:trig_en 0:trig_off
  2566. //@apireg:desc abs-addr:0X89D8; 2级触发使能。1:触发使能 ;0:关闭,,,,
  2567. //@apireg:note reg_hw_name:trig_2nd_serial_trig_en
  2568. //@apireg:0xaddr 0X8800 | (((0X76&0XFF) << 2) | ((0X76&0X100) << 6))
  2569. 9'H076 : trig_2nd_serial_trig_en <= cmd_iowr_d[3:0];
  2570. //@apireg:group:title 2nd
  2571. //@apireg:title TRIG_2ND_TRIG_SOURCE_SEL
  2572. //@apireg:software:name SourceSelect
  2573. //@apireg:value:appoint bit-width:3 ; 3bits 0:channel1,1:channel2 ; (后续可能有其他的通道加入)
  2574. //@apireg:desc abs-addr:0X89DC; 2级数字触发源选择,信号是迟滞比较后产生的新的状态信号, ; 用于数字边沿触发,,,,
  2575. //@apireg:note reg_hw_name:trig_2nd_trig_source_sel
  2576. //@apireg:0xaddr 0X8800 | (((0X77&0XFF) << 2) | ((0X77&0X100) << 6))
  2577. 9'H077 : trig_2nd_trig_source_sel <= cmd_iowr_d[2:0];
  2578. //@apireg:group:title 2nd
  2579. //@apireg:title TRIG_2ND_TRIG_TYPE_SEL
  2580. //@apireg:software:name TrigTypeSelect
  2581. //@apireg:value:appoint bit-width:16 ; 11bits,[2:0]代表触发分类,00单通道高级触发,01多通道高级触发,02la触发,03协议触发,04其他触发(视频触发等)。[6:3]表示单通道高级触发类型:00边沿,01脉宽,02斜率,03跌落,04欠幅,05超时,06窗口。[10:7]表示多通道高级触发,00码型,01状态,02建立保持,03级联,04间隔。
  2582. //@apireg:desc abs-addr:0X89E0; 2级触发触发类型选择,,,,
  2583. //@apireg:note reg_hw_name:trig_2nd_trig_type_sel
  2584. //@apireg:0xaddr 0X8800 | (((0X78&0XFF) << 2) | ((0X78&0X100) << 6))
  2585. 9'H078 : trig_2nd_trig_type_sel <= cmd_iowr_d[15:0];
  2586. //@apireg:group:title 2nd
  2587. //@apireg:title TRIG_2ND_SEARCH_EN
  2588. //@apireg:software:name search_en
  2589. //@apireg:value:appoint bit-width:1 ; 1:是开启查找触发点计数功能,不丢点 ; 0:关闭查找触发点计数功能,正常二级触发丢点模式
  2590. //@apireg:desc abs-addr:0X8A9C; none
  2591. //@apireg:note reg_hw_name:trig_2nd_search_en
  2592. //@apireg:0xaddr 0X8800 | (((0XA7&0XFF) << 2) | ((0XA7&0X100) << 6))
  2593. 9'H0A7 : trig_2nd_search_en <= cmd_iowr_d[0:0];
  2594. //@apireg:group:title 2nd
  2595. //@apireg:title TRIG_2ND_AC_DC_SETTING
  2596. //@apireg:software:name ac_dc_setting
  2597. //@apireg:value:appoint bit-width:1 ; bit[0]开启二级触发ac_dc 使能。为高:ac.为低dc
  2598. //@apireg:desc abs-addr:0X8AA0; none
  2599. //@apireg:note reg_hw_name:trig_2nd_ac_dc_setting
  2600. //@apireg:0xaddr 0X8800 | (((0XA8&0XFF) << 2) | ((0XA8&0X100) << 6))
  2601. 9'H0A8 : trig_2nd_ac_dc_setting <= cmd_iowr_d[0:0];
  2602. //@apireg:group:title ASourceSel
  2603. //@apireg:title TRIG_COM_TRIG_EVENT_A_SOURCE_SEL
  2604. //@apireg:software:name EventASourceSelect
  2605. //@apireg:value:appoint bit-width:3 ; 3bits 0:channel1;1:channel2 ; (后续可能有其他的通道加入)
  2606. //@apireg:desc abs-addr:0XC800; 事件A通道选择,,,,
  2607. //@apireg:note reg_hw_name:trig_com_trig_event_a_source_sel
  2608. //@apireg:0xaddr 0X8800 | (((0X100&0XFF) << 2) | ((0X100&0X100) << 6))
  2609. 9'H100 : trig_com_trig_event_a_source_sel <= cmd_iowr_d[2:0];
  2610. //@apireg:group:title BSourceSel
  2611. //@apireg:title TRIG_COM_TRIG_EVENT_B_SOURCE_SEL
  2612. //@apireg:software:name EventBSourceSelect
  2613. //@apireg:value:appoint bit-width:3 ; 3bits 0:channel1;1:channel2 ; (后续可能有其他的通道加入)
  2614. //@apireg:desc abs-addr:0XC804; 事件B通道选择,,,,
  2615. //@apireg:note reg_hw_name:trig_com_trig_event_b_source_sel
  2616. //@apireg:0xaddr 0X8800 | (((0X101&0XFF) << 2) | ((0X101&0X100) << 6))
  2617. 9'H101 : trig_com_trig_event_b_source_sel <= cmd_iowr_d[2:0];
  2618. //@apireg:group:title Cascaded
  2619. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTA_SOURCE
  2620. //@apireg:software:name EventASourceSelect
  2621. //@apireg:value:appoint bit-width:4 ; 3bits 0:channel1;1:channel2 2:channel 3 ... ; (后续可能有其他的通道加入)
  2622. //@apireg:desc abs-addr:0X89E4; 事件A通道选择,,,,
  2623. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventa_source
  2624. //@apireg:0xaddr 0X8800 | (((0X79&0XFF) << 2) | ((0X79&0X100) << 6))
  2625. 9'H079 : trig_com_trig_cascade_eventa_source <= cmd_iowr_d[3:0];
  2626. //@apireg:group:title Cascaded
  2627. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTB_SOURCE
  2628. //@apireg:software:name EventBSourceSelect
  2629. //@apireg:value:appoint bit-width:4 ; 3bits 0:channel1;1:channel2 2:channel 3 ... ; (后续可能有其他的通道加入)
  2630. //@apireg:desc abs-addr:0X89E8; 事件B通道选择,,,,
  2631. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventb_source
  2632. //@apireg:0xaddr 0X8800 | (((0X7A&0XFF) << 2) | ((0X7A&0X100) << 6))
  2633. 9'H07A : trig_com_trig_cascade_eventb_source <= cmd_iowr_d[3:0];
  2634. //@apireg:group:title Cascaded
  2635. //@apireg:title TRIG_COM_TRIG_CASCADED_EN
  2636. //@apireg:software:name CascadedEnable
  2637. //@apireg:value:appoint bit-width:1 ; 1bit 0:关闭;1:开启;
  2638. //@apireg:desc abs-addr:0X89EC; 级联触发使能,,,,
  2639. //@apireg:note reg_hw_name:trig_com_trig_cascaded_en
  2640. //@apireg:0xaddr 0X8800 | (((0X7B&0XFF) << 2) | ((0X7B&0X100) << 6))
  2641. 9'H07B : trig_com_trig_cascaded_en <= cmd_iowr_d[0:0];
  2642. //@apireg:group:title Cascaded
  2643. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTA_TYPE
  2644. //@apireg:software:name EventATrigType
  2645. //@apireg:value:appoint bit-width:4 ; 0:边沿 1-脉宽 2-斜率 3-欠幅 4-超时
  2646. //@apireg:desc abs-addr:0X8BA4; 事件A触发类型选择,,,,
  2647. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventa_type
  2648. //@apireg:0xaddr 0X8800 | (((0XE9&0XFF) << 2) | ((0XE9&0X100) << 6))
  2649. 9'H0E9 : trig_com_trig_cascade_eventa_type <= cmd_iowr_d[3:0];
  2650. //@apireg:group:title Cascaded
  2651. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTB_TYPE
  2652. //@apireg:software:name EventBTrigType
  2653. //@apireg:value:appoint bit-width:4 ; 0:边沿 1-脉宽 2-斜率 3-欠幅 4-超时
  2654. //@apireg:desc abs-addr:0X8BA8; 事件B触发类型选择,,,,
  2655. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventb_type
  2656. //@apireg:0xaddr 0X8800 | (((0XEA&0XFF) << 2) | ((0XEA&0X100) << 6))
  2657. 9'H0EA : trig_com_trig_cascade_eventb_type <= cmd_iowr_d[3:0];
  2658. //@apireg:group:title Cascaded
  2659. //@apireg:title TRIG_COM_TRIG_CASCADE_DELAYA_SET
  2660. //@apireg:software:name EventADelaySet
  2661. //@apireg:value:appoint bit-width:16 ; [15] 为1,表示时间时间 ,此时[14:0]表示delay时间,以4ns为单位 ; 为0 ,表示事件,此时[14:0]表示delay事件,为事件个数
  2662. //@apireg:desc abs-addr:0X8BAC; 事件A延迟设置,,,,
  2663. //@apireg:note reg_hw_name:trig_com_trig_cascade_delaya_set
  2664. //@apireg:0xaddr 0X8800 | (((0XEB&0XFF) << 2) | ((0XEB&0X100) << 6))
  2665. 9'H0EB : trig_com_trig_cascade_delaya_set <= cmd_iowr_d[15:0];
  2666. //@apireg:group:title Cascaded
  2667. //@apireg:title TRIG_COM_TRIG_CASCADE_DELAYB_SET
  2668. //@apireg:software:name EventBDelaySet
  2669. //@apireg:value:appoint bit-width:16 ; [15] 为1,表示时间时间 ,此时[14:0]表示delay时间,以4ns为单位 ; 为0 ,表示事件,此时[14:0]表示delay事件,为事件个数
  2670. //@apireg:desc abs-addr:0X8BB0; 事件B延迟设置,,,,
  2671. //@apireg:note reg_hw_name:trig_com_trig_cascade_delayb_set
  2672. //@apireg:0xaddr 0X8800 | (((0XEC&0XFF) << 2) | ((0XEC&0X100) << 6))
  2673. 9'H0EC : trig_com_trig_cascade_delayb_set <= cmd_iowr_d[15:0];
  2674. //@apireg:group:title Code
  2675. //@apireg:title TRIG_COM_CODE_TRIG_CTRL_WORD0
  2676. //@apireg:software:name Condition
  2677. //@apireg:value:appoint bit-width:3 ; 3bits 000:与;001:或;010:与非;011:或非;
  2678. //@apireg:desc abs-addr:0X89F0; 码型逻辑比较选择,,,,
  2679. //@apireg:note reg_hw_name:trig_com_code_trig_ctrl_word0
  2680. //@apireg:0xaddr 0X8800 | (((0X7C&0XFF) << 2) | ((0X7C&0X100) << 6))
  2681. 9'H07C : trig_com_code_trig_ctrl_word0 <= cmd_iowr_d[2:0];
  2682. //@apireg:group:title Code
  2683. //@apireg:title TRIG_COM_CODE_TRIG_CTRL_WORD1
  2684. //@apireg:software:name CtrlWord
  2685. //@apireg:value:appoint bit-width:16 ; 16bits [15:8]:通道无关项选择 ; [7:0]:各通道码型设置值
  2686. //@apireg:desc abs-addr:0X89F4; 8通道码型设置,,,,
  2687. //@apireg:note reg_hw_name:trig_com_code_trig_ctrl_word1
  2688. //@apireg:0xaddr 0X8800 | (((0X7D&0XFF) << 2) | ((0X7D&0X100) << 6))
  2689. 9'H07D : trig_com_code_trig_ctrl_word1 <= cmd_iowr_d[15:0];
  2690. //@apireg:group:title Code
  2691. //@apireg:title TRIG_COM_CODE_CODE_WIDTH_FUNC
  2692. //@apireg:software:name WidthAndPolarity
  2693. //@apireg:value:appoint bit-width:4 ; 4bits [3:2]:00:正极性;01:负极性; ; [1:0]:00=大于;01=小于;10=等于;11=不等于;
  2694. //@apireg:desc abs-addr:0X89F8; 码型脉宽比较符和极性选择,,,,
  2695. //@apireg:note reg_hw_name:trig_com_code_code_width_func
  2696. //@apireg:0xaddr 0X8800 | (((0X7E&0XFF) << 2) | ((0X7E&0X100) << 6))
  2697. 9'H07E : trig_com_code_code_width_func <= cmd_iowr_d[3:0];
  2698. //@apireg:group:title Dropout
  2699. //@apireg:title TRIG_2ND_TRIG_DROPOUT_FUNC
  2700. //@apireg:software:name IsDualEdgeRefresh
  2701. //@apireg:value:appoint bit-width:1 ; 1bit 0:无双沿刷新;1:有双沿刷新
  2702. //@apireg:desc abs-addr:0X89FC; 2级跌落刷新极性选择,,,,
  2703. //@apireg:note reg_hw_name:trig_2nd_trig_dropout_func
  2704. //@apireg:0xaddr 0X8800 | (((0X7F&0XFF) << 2) | ((0X7F&0X100) << 6))
  2705. 9'H07F : trig_2nd_trig_dropout_func <= cmd_iowr_d[0:0];
  2706. //@apireg:group:title Dropout
  2707. //@apireg:title TRIG_2ND_TRIG_DROPOUT_POLARITY_SEL
  2708. //@apireg:software:name Polarity
  2709. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  2710. //@apireg:desc abs-addr:0X8A00; 2级跌落极性选择,,,,
  2711. //@apireg:note reg_hw_name:trig_2nd_trig_dropout_polarity_sel
  2712. //@apireg:0xaddr 0X8800 | (((0X80&0XFF) << 2) | ((0X80&0X100) << 6))
  2713. 9'H080 : trig_2nd_trig_dropout_polarity_sel <= cmd_iowr_d[0:0];
  2714. //@apireg:group:title EdgeThenEdge
  2715. //@apireg:title TRIG_COM_TRIG_ETE_CAPTURE_POLAR
  2716. //@apireg:software:name CapturePolarity
  2717. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  2718. //@apireg:desc abs-addr:0X8A04; 捕捉信号极性,,,,
  2719. //@apireg:note reg_hw_name:trig_com_trig_ete_capture_polar
  2720. //@apireg:0xaddr 0X8800 | (((0X81&0XFF) << 2) | ((0X81&0X100) << 6))
  2721. 9'H081 : trig_com_trig_ete_capture_polar <= cmd_iowr_d[0:0];
  2722. //@apireg:group:title EdgeThenEdge
  2723. //@apireg:title TRIG_COM_TRIG_ETE_EVENT
  2724. //@apireg:software:name EventType
  2725. //@apireg:value:appoint bit-width:1 ; 1bit 0:时间计数;1:个数计数
  2726. //@apireg:desc abs-addr:0X8A08; 选择以时间进行计数或者以捕获沿个数进行计数,,,,
  2727. //@apireg:note reg_hw_name:trig_com_trig_ete_event
  2728. //@apireg:0xaddr 0X8800 | (((0X82&0XFF) << 2) | ((0X82&0X100) << 6))
  2729. 9'H082 : trig_com_trig_ete_event <= cmd_iowr_d[0:0];
  2730. //@apireg:group:title EdgeThenEdge
  2731. //@apireg:title TRIG_COM_TRIG_ETE_LAUNCH_POLAR
  2732. //@apireg:software:name LaunchPolarity
  2733. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  2734. //@apireg:desc abs-addr:0X8A0C; 选通信号极性,,,,
  2735. //@apireg:note reg_hw_name:trig_com_trig_ete_launch_polar
  2736. //@apireg:0xaddr 0X8800 | (((0X83&0XFF) << 2) | ((0X83&0X100) << 6))
  2737. 9'H083 : trig_com_trig_ete_launch_polar <= cmd_iowr_d[0:0];
  2738. //@apireg:group:title Fifo
  2739. //@apireg:title TRIG_2ND_SERIAL_PROG_FULL_THRESH
  2740. //@apireg:software:name SerialFifoDepth
  2741. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  2742. //@apireg:desc abs-addr:0X8A10; 2级采集板串行FIFO深度,最大16384,缓存波形数据并找点,不同时基档位数深度不同,目前初始化设为16000,,,,
  2743. //@apireg:note reg_hw_name:trig_2nd_serial_prog_full_thresh
  2744. //@apireg:0xaddr 0X8800 | (((0X84&0XFF) << 2) | ((0X84&0X100) << 6))
  2745. 9'H084 : trig_2nd_serial_prog_full_thresh <= cmd_iowr_d[15:0];
  2746. //@apireg:group:title Glitch
  2747. //@apireg:title TRIG_2ND_GLI_FUNC_SEL
  2748. //@apireg:software:name Condition
  2749. //@apireg:value:appoint bit-width:1 ; 1bit 0:<;1:>
  2750. //@apireg:desc abs-addr:0X8A14; 2级毛刺条件设置,,,,
  2751. //@apireg:note reg_hw_name:trig_2nd_gli_func_sel
  2752. //@apireg:0xaddr 0X8800 | (((0X85&0XFF) << 2) | ((0X85&0X100) << 6))
  2753. 9'H085 : trig_2nd_gli_func_sel <= cmd_iowr_d[0:0];
  2754. //@apireg:group:title Interval
  2755. //@apireg:title TRIG_COM_TRIG_INTERVAL_FUNC
  2756. //@apireg:software:name Condition
  2757. //@apireg:value:appoint bit-width:2 ; 2bits 0:大于;1:小于;2:等于;3:不等于
  2758. //@apireg:desc abs-addr:0X8A18; 2级间隔条件选择,,,,
  2759. //@apireg:note reg_hw_name:trig_2nd_trig_interval_func
  2760. //@apireg:0xaddr 0X8800 | (((0X86&0XFF) << 2) | ((0X86&0X100) << 6))
  2761. 9'H086 : trig_2nd_trig_interval_func <= cmd_iowr_d[1:0];
  2762. //@apireg:group:title Interval
  2763. //@apireg:title TRIG_COM_TRIG_INTERVAL_POLARITY_SEL
  2764. //@apireg:software:name Polarity
  2765. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  2766. //@apireg:desc abs-addr:0X8A1C; 2级间隔极性选择,,,,
  2767. //@apireg:note reg_hw_name:trig_2nd_trig_interval_polarity_sel
  2768. //@apireg:0xaddr 0X8800 | (((0X87&0XFF) << 2) | ((0X87&0X100) << 6))
  2769. 9'H087 : trig_2nd_trig_interval_polarity_sel <= cmd_iowr_d[0:0];
  2770. //@apireg:group:title Location
  2771. //@apireg:title TRIG_PRO_LOCA_SYNC_SET
  2772. //@apireg:software:name LocationReserve1
  2773. //@apireg:value:appoint bit-width:16 ; 16bits:可扩展
  2774. //@apireg:desc abs-addr:0X8A20; 预留 2/19,,,,
  2775. //@apireg:note reg_hw_name:trig_pro_loca_sync_set
  2776. //@apireg:0xaddr 0X8800 | (((0X88&0XFF) << 2) | ((0X88&0X100) << 6))
  2777. 9'H088 : trig_pro_loca_sync_set <= cmd_iowr_d[15:0];
  2778. //@apireg:group:title Location
  2779. //@apireg:title TRIG_PRO_LOCA_SYNC_SET_EXT
  2780. //@apireg:software:name LocationReserve2
  2781. //@apireg:value:appoint bit-width:16 ; 预留扩展
  2782. //@apireg:desc abs-addr:0X8A24; 预留,,,,
  2783. //@apireg:note reg_hw_name:trig_pro_loca_sync_set_ext
  2784. //@apireg:0xaddr 0X8800 | (((0X89&0XFF) << 2) | ((0X89&0X100) << 6))
  2785. 9'H089 : trig_pro_loca_sync_set_ext <= cmd_iowr_d[15:0];
  2786. //@apireg:group:title Location
  2787. //@apireg:title TRIG_PRO_LOCAL_SYNC_IO_RST
  2788. //@apireg:software:name SyncReset
  2789. //@apireg:value:appoint bit-width:1 ; delay复位,高有效,
  2790. //@apireg:desc abs-addr:0X8A78; none
  2791. //@apireg:note reg_hw_name:trig_pro_local_sync_io_rst
  2792. //@apireg:0xaddr 0X8800 | (((0X9E&0XFF) << 2) | ((0X9E&0X100) << 6))
  2793. 9'H09E : trig_pro_local_sync_io_rst <= cmd_iowr_d[0:0];
  2794. //@apireg:group:title Location
  2795. //@apireg:title TRIG_PRO_LOCAL_SYNC_DELAY_INC
  2796. //@apireg:software:name SyncINC
  2797. //@apireg:value:appoint bit-width:16 ; 16bits: 采集板inc使能,1递增0,递减
  2798. //@apireg:desc abs-addr:0X8A7C; none
  2799. //@apireg:note reg_hw_name:trig_pro_local_sync_delay_inc
  2800. //@apireg:0xaddr 0X8800 | (((0X9F&0XFF) << 2) | ((0X9F&0X100) << 6))
  2801. 9'H09F : trig_pro_local_sync_delay_inc <= cmd_iowr_d[15:0];
  2802. //@apireg:group:title Location
  2803. //@apireg:title TRIG_PRO_LOCAL_SYNC_DELAY_CE
  2804. //@apireg:software:name SyncCE
  2805. //@apireg:value:appoint bit-width:16 ; 16bits:采集板ce使能,0时维持不变,1时在下一个时钟上升沿递增或递减
  2806. //@apireg:desc abs-addr:0X8A80; none
  2807. //@apireg:note reg_hw_name:trig_pro_local_sync_delay_ce
  2808. //@apireg:0xaddr 0X8800 | (((0XA0&0XFF) << 2) | ((0XA0&0X100) << 6))
  2809. 9'H0A0 : trig_pro_local_sync_delay_ce <= cmd_iowr_d[15:0];
  2810. //@apireg:group:title Location
  2811. //@apireg:title TRIG_PRO_LOCAL_SYNC_DELAY_VTC
  2812. //@apireg:software:name SyncVTC
  2813. //@apireg:value:appoint bit-width:16 ; 16bits: 采集板vtc使能高有效
  2814. //@apireg:desc abs-addr:0X8A84; none
  2815. //@apireg:note reg_hw_name:trig_pro_local_sync_delay_vtc
  2816. //@apireg:0xaddr 0X8800 | (((0XA1&0XFF) << 2) | ((0XA1&0X100) << 6))
  2817. 9'H0A1 : trig_pro_local_sync_delay_vtc <= cmd_iowr_d[15:0];
  2818. //@apireg:group:title Location
  2819. //@apireg:title DBI_PRO_TRIG_DISCARD
  2820. //@apireg:software:name dbi_pro_trig_discard
  2821. //@apireg:value:appoint bit-width:16 ; [15] 开启dbi 丢点使能 1有效 [14:0] 丢点数设置
  2822. //@apireg:desc abs-addr:0X8AA4; none
  2823. //@apireg:note reg_hw_name:dbi_pro_trig_discard
  2824. //@apireg:0xaddr 0X8800 | (((0XA9&0XFF) << 2) | ((0XA9&0X100) << 6))
  2825. 9'H0A9 : dbi_pro_trig_discard <= cmd_iowr_d[15:0];
  2826. //@apireg:group:title Location
  2827. //@apireg:title TRIG_1ST_TEST_MODE_PRO_EN
  2828. //@apireg:software:name TestModeProEn
  2829. //@apireg:value:appoint bit-width:1 ; 触发传输偏移量测试模式使能,1bit,测试模式设为1,正常采集模式设为0
  2830. //@apireg:desc abs-addr:0XC964; none
  2831. //@apireg:note reg_hw_name:trig_1st_test_mode_pro_en
  2832. //@apireg:0xaddr 0X8800 | (((0X159&0XFF) << 2) | ((0X159&0X100) << 6))
  2833. 9'H159 : trig_1st_test_mode_pro_en <= cmd_iowr_d[0:0];
  2834. //@apireg:group:title Location
  2835. //@apireg:title TRIG_1ST_TEST_MODE_ACQ_NUM
  2836. //@apireg:software:name TestAcqNum
  2837. //@apireg:value:appoint bit-width:16 ; 触发传输偏移量测试采集板板卡号:0-采集板1 1-采集板2……
  2838. //@apireg:desc abs-addr:0XC968; none
  2839. //@apireg:note reg_hw_name:trig_1st_test_mode_acq_num
  2840. //@apireg:0xaddr 0X8800 | (((0X15A&0XFF) << 2) | ((0X15A&0X100) << 6))
  2841. 9'H15A : trig_1st_test_mode_acq_num <= cmd_iowr_d[15:0];
  2842. //@apireg:group:title PulseWidth
  2843. //@apireg:title TRIG_2ND_TRIG_PW_FUNC_SEL
  2844. //@apireg:software:name Condition
  2845. //@apireg:value:appoint bit-width:2 ; 2bit 0 = (实际脉宽)大于(设置值); ; 1 = 小于; ; 2 = 等于; ; 3 = 小于或大于;
  2846. //@apireg:desc abs-addr:0X8A28; 脉宽比较限定符选择,,,,
  2847. //@apireg:note reg_hw_name:trig_2nd_trig_pw_func_sel
  2848. //@apireg:0xaddr 0X8800 | (((0X8A&0XFF) << 2) | ((0X8A&0X100) << 6))
  2849. 9'H08A : trig_2nd_trig_pw_func_sel <= cmd_iowr_d[1:0];
  2850. //@apireg:group:title PulseWidth
  2851. //@apireg:title TRIG_2ND_TRIG_PW_POLARITY_SEL
  2852. //@apireg:software:name Polarity
  2853. //@apireg:value:appoint bit-width:2 ; 2bit 0 = 正脉冲; ; 1 = 负脉冲;
  2854. //@apireg:desc abs-addr:0X8A2C; 2级脉冲极性选择,,,,
  2855. //@apireg:note reg_hw_name:trig_2nd_trig_pw_polarity_sel
  2856. //@apireg:0xaddr 0X8800 | (((0X8B&0XFF) << 2) | ((0X8B&0X100) << 6))
  2857. 9'H08B : trig_2nd_trig_pw_polarity_sel <= cmd_iowr_d[1:0];
  2858. //@apireg:group:title Runt
  2859. //@apireg:title TRIG_2ND_RUNT_FUNC_SEL
  2860. //@apireg:software:name Condition
  2861. //@apireg:value:appoint bit-width:3 ; 3bit 00:无关;01:<;10:>;11:=
  2862. //@apireg:desc abs-addr:0X8A30; 2级欠幅条件,低两位:欠幅宽度条件设置; ; 高位:欠幅极性设置0:负欠幅 1:正欠幅,,,,
  2863. //@apireg:note reg_hw_name:trig_2nd_runt_func_sel
  2864. //@apireg:0xaddr 0X8800 | (((0X8C&0XFF) << 2) | ((0X8C&0X100) << 6))
  2865. 9'H08C : trig_2nd_runt_func_sel <= cmd_iowr_d[2:0];
  2866. //@apireg:group:title Setuphold
  2867. //@apireg:title TRIG_COM_SETUP_HOLD_CTRL_WORD
  2868. //@apireg:software:name PolarityAndCondition
  2869. //@apireg:value:appoint bit-width:2 ; 2bits 0:setup,1:hold
  2870. //@apireg:desc abs-addr:0X8A34; 低位:建立/保持选择, ; 高位:时钟极性选择,,,,
  2871. //@apireg:note reg_hw_name:trig_com_setup_hold_ctrl_word
  2872. //@apireg:0xaddr 0X8800 | (((0X8D&0XFF) << 2) | ((0X8D&0X100) << 6))
  2873. 9'H08D : trig_com_setup_hold_ctrl_word <= cmd_iowr_d[1:0];
  2874. //@apireg:group:title Slope
  2875. //@apireg:title TRIG_COM_TRIG_SLOPE_FUNC_SEL
  2876. //@apireg:software:name PolarityAndCondition
  2877. //@apireg:value:appoint bit-width:3 ; 3bits [2]:1 = 上升沿; ; 0 = 下降沿;[1:0]:00 = (实际时间宽度)大于(设置值); ; 01 = 小于; ; 10 = 等于; ; 11 = 不等于;
  2878. //@apireg:desc abs-addr:0X8A38; 选择有效沿极性和选择比较限定符,,,,
  2879. //@apireg:note reg_hw_name:trig_com_trig_slope_func_sel
  2880. //@apireg:0xaddr 0X8800 | (((0X8E&0XFF) << 2) | ((0X8E&0X100) << 6))
  2881. 9'H08E : trig_com_trig_slope_func_sel <= cmd_iowr_d[2:0];
  2882. //@apireg:group:title State
  2883. //@apireg:title TRIG_COM_STATE_TRIG_CTRL_WORD
  2884. //@apireg:software:name CtrlWord
  2885. //@apireg:value:appoint bit-width:15 ; none
  2886. //@apireg:desc abs-addr:0X8A3C; none
  2887. //@apireg:note reg_hw_name:trig_com_state_trig_ctrl_word
  2888. //@apireg:0xaddr 0X8800 | (((0X8F&0XFF) << 2) | ((0X8F&0X100) << 6))
  2889. 9'H08F : trig_com_state_trig_ctrl_word <= cmd_iowr_d[14:0];
  2890. //@apireg:group:title Timeout
  2891. //@apireg:title TRIG_2ND_TRIG_TIMEOUT_FUNC
  2892. //@apireg:software:name IsKeepHighLevel
  2893. //@apireg:value:appoint bit-width:1 ; 1bit 0 = 保持低电平; ; 1 = 保持高电平;
  2894. //@apireg:desc abs-addr:0X8A40; 超时电压选择,,,,
  2895. //@apireg:note reg_hw_name:trig_2nd_trig_timeout_func
  2896. //@apireg:0xaddr 0X8800 | (((0X90&0XFF) << 2) | ((0X90&0X100) << 6))
  2897. 9'H090 : trig_2nd_trig_timeout_func <= cmd_iowr_d[0:0];
  2898. //@apireg:group:title Video
  2899. //@apireg:title TRIG_COM_TRIG_VIDEO_CUSTOM_HORIZONTAL
  2900. //@apireg:software:name CustomHorizontalSet
  2901. //@apireg:value:appoint bit-width:11 ; 11bits
  2902. //@apireg:desc abs-addr:0X8A44; 视频触发行设置,,,,
  2903. //@apireg:note reg_hw_name:trig_com_trig_video_custom_horizontal
  2904. //@apireg:0xaddr 0X8800 | (((0X91&0XFF) << 2) | ((0X91&0X100) << 6))
  2905. 9'H091 : trig_com_trig_video_custom_horizontal <= cmd_iowr_d[10:0];
  2906. //@apireg:group:title Video
  2907. //@apireg:title TRIG_COM_TRIG_VIDEO_MODE
  2908. //@apireg:software:name Standard
  2909. //@apireg:value:appoint bit-width:3 ; 3bits 0:ntsc制式,480i; ; 1: pal制式,secam制式,576i; ; 2: 480p制式;3: 576p制式; ; 4: 720p制式;5: 原来是875i制式, ; 这里不需要,改成custom; ; 6: 1080i制式;7: 1080p制式
  2910. //@apireg:desc abs-addr:0X8A48; 视频制式,,,,
  2911. //@apireg:note reg_hw_name:trig_com_trig_video_mode
  2912. //@apireg:0xaddr 0X8800 | (((0X92&0XFF) << 2) | ((0X92&0X100) << 6))
  2913. 9'H092 : trig_com_trig_video_mode <= cmd_iowr_d[2:0];
  2914. //@apireg:group:title Video
  2915. //@apireg:title TRIG_COM_TRIG_VIDEO_SYNC_NUMBER
  2916. //@apireg:software:name SyncNumber
  2917. //@apireg:value:appoint bit-width:11 ; 11bits
  2918. //@apireg:desc abs-addr:0X8A4C; 视频触发行数设置,,,,
  2919. //@apireg:note reg_hw_name:trig_com_trig_video_sync_number
  2920. //@apireg:0xaddr 0X8800 | (((0X93&0XFF) << 2) | ((0X93&0X100) << 6))
  2921. 9'H093 : trig_com_trig_video_sync_number <= cmd_iowr_d[10:0];
  2922. //@apireg:group:title Video
  2923. //@apireg:title TRIG_VIDEO_TRI_MODE
  2924. //@apireg:software:name TrigMode
  2925. //@apireg:value:appoint bit-width:3 ; 3bits 000=所有行;001=指定行;010:偶数场;011:奇数场;100:所有场
  2926. //@apireg:desc abs-addr:0X8A50; 视频触发模式,,,,
  2927. //@apireg:note reg_hw_name:trig_video_tri_mode
  2928. //@apireg:0xaddr 0X8800 | (((0X94&0XFF) << 2) | ((0X94&0X100) << 6))
  2929. 9'H094 : trig_video_tri_mode <= cmd_iowr_d[2:0];
  2930. //@apireg:group:title WidthSet
  2931. //@apireg:title TRIG_2ND_TRIG_ETE_EVENT
  2932. //@apireg:software:name EventType
  2933. //@apireg:value:appoint bit-width:1 ; 1bit 0:时间计数;1:个数计数
  2934. //@apireg:desc abs-addr:0X8A54; 2级触发宽度计数选择,选择以时间还是事件计数,,,,
  2935. //@apireg:note reg_hw_name:trig_2nd_trig_ete_event
  2936. //@apireg:0xaddr 0X8800 | (((0X95&0XFF) << 2) | ((0X95&0X100) << 6))
  2937. 9'H095 : trig_2nd_trig_ete_event <= cmd_iowr_d[0:0];
  2938. //@apireg:group:title WidthSet
  2939. //@apireg:title TRIG_2ND_CONFIGURE_DATA2_SET_2
  2940. //@apireg:software:name NumberH
  2941. //@apireg:value:appoint bit-width:16 ; 16bit [47:32]
  2942. //@apireg:desc abs-addr:0X8A58; 2级触发宽度配置,以事件计数所有触发的宽度设置共用一组寄存器,在软件配置。,,,,
  2943. //@apireg:note reg_hw_name:trig_2nd_configure_data2_set_2
  2944. //@apireg:0xaddr 0X8800 | (((0X96&0XFF) << 2) | ((0X96&0X100) << 6))
  2945. 9'H096 : trig_2nd_configure_data2_set_2 <= cmd_iowr_d[15:0];
  2946. //@apireg:group:title WidthSet
  2947. //@apireg:title TRIG_2ND_CONFIGURE_DATA2_SET_0
  2948. //@apireg:software:name NumberL
  2949. //@apireg:value:appoint bit-width:16 ; 16bit [15:0]
  2950. //@apireg:desc abs-addr:0X8A5C; 2级触发宽度配置,以事件计数所有触发的宽度设置共用一组寄存器,在软件配置。,,,,
  2951. //@apireg:note reg_hw_name:trig_2nd_configure_data2_set_0
  2952. //@apireg:0xaddr 0X8800 | (((0X97&0XFF) << 2) | ((0X97&0X100) << 6))
  2953. 9'H097 : trig_2nd_configure_data2_set_0 <= cmd_iowr_d[15:0];
  2954. //@apireg:group:title WidthSet
  2955. //@apireg:title TRIG_2ND_CONFIGURE_DATA2_SET_1
  2956. //@apireg:software:name NumberM
  2957. //@apireg:value:appoint bit-width:16 ; 16bit [31:16]
  2958. //@apireg:desc abs-addr:0X8A60; 2级触发宽度配置,以事件计数所有触发的宽度设置共用一组寄存器,在软件配置。,,,,
  2959. //@apireg:note reg_hw_name:trig_2nd_configure_data2_set_1
  2960. //@apireg:0xaddr 0X8800 | (((0X98&0XFF) << 2) | ((0X98&0X100) << 6))
  2961. 9'H098 : trig_2nd_configure_data2_set_1 <= cmd_iowr_d[15:0];
  2962. //@apireg:group:title WidthSet
  2963. //@apireg:title TRIG_2ND_CONFIGURE_DATA1_SET_2
  2964. //@apireg:software:name WidthH
  2965. //@apireg:value:appoint bit-width:16 ; 16bits 2:[15:0]
  2966. //@apireg:desc abs-addr:0X8A64; 2级触发宽度配置,以时间计数,所有触发的宽度设置共用一组寄存器,在软件配置。持续时间根据插值抽取后的倍数决定,,,,
  2967. //@apireg:note reg_hw_name:trig_2nd_configure_data1_set_2
  2968. //@apireg:0xaddr 0X8800 | (((0X99&0XFF) << 2) | ((0X99&0X100) << 6))
  2969. 9'H099 : trig_2nd_configure_data1_set_2 <= cmd_iowr_d[15:0];
  2970. //@apireg:group:title WidthSet
  2971. //@apireg:title TRIG_2ND_CONFIGURE_DATA1_SET_0
  2972. //@apireg:software:name WidthL
  2973. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0],
  2974. //@apireg:desc abs-addr:0X8A68; 2级触发宽度配置,所有触发的宽度设置共用一组寄存器,在软件配置。持续时间根据插值抽取后的倍数决定,,,,
  2975. //@apireg:note reg_hw_name:trig_2nd_configure_data1_set_0
  2976. //@apireg:0xaddr 0X8800 | (((0X9A&0XFF) << 2) | ((0X9A&0X100) << 6))
  2977. 9'H09A : trig_2nd_configure_data1_set_0 <= cmd_iowr_d[15:0];
  2978. //@apireg:group:title WidthSet
  2979. //@apireg:title TRIG_2ND_CONFIGURE_DATA1_SET_1
  2980. //@apireg:software:name WidthM
  2981. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  2982. //@apireg:desc abs-addr:0X8A6C; 2级触发宽度配置,以时间计数。所有触发的宽度设置共用一组寄存器,在软件配置。持续时间根据插值抽取后的倍数决定,,,,
  2983. //@apireg:note reg_hw_name:trig_2nd_configure_data1_set_1
  2984. //@apireg:0xaddr 0X8800 | (((0X9B&0XFF) << 2) | ((0X9B&0X100) << 6))
  2985. 9'H09B : trig_2nd_configure_data1_set_1 <= cmd_iowr_d[15:0];
  2986. //@apireg:group:title Window
  2987. //@apireg:title TRIG_2ND_TRIG_WINDOW_FUNC_SEL
  2988. //@apireg:software:name setting_2nd
  2989. //@apireg:value:appoint bit-width:3 ; trig_2nd_trig_window_func_sel[2]=0表示外部触发。 ; trig_2nd_trig_window_func_sel[2]=1表示内部触发。 ; trig_2nd_trig_window_func_sel[1:0]: ; 2’b00:大于; ; 2’b01:小于; ; 2’b10:等于; ; 2’b11:进入;
  2990. //@apireg:desc abs-addr:0XC808; 二级窗口触发功能选择,,,,
  2991. //@apireg:note reg_hw_name:trig_2nd_trig_window_func_sel
  2992. //@apireg:0xaddr 0X8800 | (((0X102&0XFF) << 2) | ((0X102&0X100) << 6))
  2993. 9'H102 : trig_2nd_trig_window_func_sel <= cmd_iowr_d[2:0];
  2994. //@apireg:group:title Window
  2995. //@apireg:title WINDOW_WIDTH_L
  2996. //@apireg:software:name width_L_2nd
  2997. //@apireg:value:appoint bit-width:16 ; 设置脉宽比较值低16位
  2998. //@apireg:desc abs-addr:0XC80C; none
  2999. //@apireg:note reg_hw_name:window_width_l
  3000. //@apireg:0xaddr 0X8800 | (((0X103&0XFF) << 2) | ((0X103&0X100) << 6))
  3001. 9'H103 : window_width_l <= cmd_iowr_d[15:0];
  3002. //@apireg:group:title Window
  3003. //@apireg:title WINDOW_WIDTH_H
  3004. //@apireg:software:name width_H_2nd
  3005. //@apireg:value:appoint bit-width:16 ; 设置脉宽比较值高16位
  3006. //@apireg:desc abs-addr:0XC810; none
  3007. //@apireg:note reg_hw_name:window_width_h
  3008. //@apireg:0xaddr 0X8800 | (((0X104&0XFF) << 2) | ((0X104&0X100) << 6))
  3009. 9'H104 : window_width_h <= cmd_iowr_d[15:0];
  3010. //@apireg:group:title TriggerSync
  3011. //@apireg:title TRIGGER_SYNC_SIGNAL_SWITCH_PRO
  3012. //@apireg:software:name SignalSwitch
  3013. //@apireg:value:appoint bit-width:1 ; 数据切换信号,0:扫窗测试信号,1:fifo读写使能信号
  3014. //@apireg:desc abs-addr:0X8A70; none
  3015. //@apireg:note reg_hw_name:trigger_sync_signal_switch_pro
  3016. //@apireg:0xaddr 0X8800 | (((0X9C&0XFF) << 2) | ((0X9C&0X100) << 6))
  3017. 9'H09C : trigger_sync_signal_switch_pro <= cmd_iowr_d[0:0];
  3018. //@apireg:group:title TriggerSync
  3019. //@apireg:title TRIGGER_SYNC_START_SEARCH_PRO
  3020. //@apireg:software:name StartSearch
  3021. //@apireg:value:appoint bit-width:1 ; 扫窗开始信号,上升沿有效
  3022. //@apireg:desc abs-addr:0X8A74; none
  3023. //@apireg:note reg_hw_name:trigger_sync_start_search_pro
  3024. //@apireg:0xaddr 0X8800 | (((0X9D&0XFF) << 2) | ((0X9D&0X100) << 6))
  3025. 9'H09D : trigger_sync_start_search_pro <= cmd_iowr_d[0:0];
  3026. //@apireg:group:title dbi
  3027. //@apireg:title DBI_PRO_AUTO_TRIG_NUM
  3028. //@apireg:software:name DBI_DBIPROAUTOTRIGNUM
  3029. //@apireg:value:appoint bit-width:16 ; dbi触发丢点数设置
  3030. //@apireg:desc abs-addr:0X8B2C; none
  3031. //@apireg:note reg_hw_name:dbi_pro_auto_trig_num
  3032. //@apireg:0xaddr 0X8800 | (((0XCB&0XFF) << 2) | ((0XCB&0X100) << 6))
  3033. 9'H0CB : dbi_pro_auto_trig_num <= cmd_iowr_d[15:0];
  3034. //@apireg:group:title dbi
  3035. //@apireg:title TRIG_2ND_PRETRIG_DEPTH_INTERP
  3036. //@apireg:software:name DBI_TRIG2NDPRETRIGDEPTHINTERP
  3037. //@apireg:value:appoint bit-width:16 ; dbi二级触发深度设置
  3038. //@apireg:desc abs-addr:0X8B30; none
  3039. //@apireg:note reg_hw_name:trig_2nd_pretrig_depth_interp
  3040. //@apireg:0xaddr 0X8800 | (((0XCC&0XFF) << 2) | ((0XCC&0X100) << 6))
  3041. 9'H0CC : trig_2nd_pretrig_depth_interp <= cmd_iowr_d[15:0];
  3042. //@apireg:group:title dbi
  3043. //@apireg:title PRO_FIFO_DEPTH_DBI_IN
  3044. //@apireg:software:name DBI_pro_fifo_depth_in
  3045. //@apireg:value:appoint bit-width:14 ; dbi前级fifo深度设置
  3046. //@apireg:desc abs-addr:0X8B34; none
  3047. //@apireg:note reg_hw_name:pro_fifo_depth_dbi_in
  3048. //@apireg:0xaddr 0X8800 | (((0XCD&0XFF) << 2) | ((0XCD&0X100) << 6))
  3049. 9'H0CD : pro_fifo_depth_dbi_in <= cmd_iowr_d[13:0];
  3050. //@apireg:group:title dbi
  3051. //@apireg:title IIR_BADPOINT_SET
  3052. //@apireg:software:name iir_badpoint_set
  3053. //@apireg:value:appoint bit-width:16 ; iir坏点设置
  3054. //@apireg:desc abs-addr:0X8B44; none
  3055. //@apireg:note reg_hw_name:iir_badpoint_set
  3056. //@apireg:0xaddr 0X8800 | (((0XD1&0XFF) << 2) | ((0XD1&0X100) << 6))
  3057. 9'H0D1 : iir_badpoint_set <= cmd_iowr_d[15:0];
  3058. //@apireg:group:title dbi
  3059. //@apireg:title DBI_CH_OFFSET_ADJUST_CH12
  3060. //@apireg:software:name dbi_ch_offset_adjust_ch12
  3061. //@apireg:value:appoint bit-width:16 ; 16g+5g模式两个通道间偏移调整
  3062. //@apireg:desc abs-addr:0XC96C; none
  3063. //@apireg:note reg_hw_name:dbi_ch_offset_adjust_ch12
  3064. //@apireg:0xaddr 0X8800 | (((0X15B&0XFF) << 2) | ((0X15B&0X100) << 6))
  3065. 9'H15B : dbi_ch_offset_adjust_ch12 <= cmd_iowr_d[15:0];
  3066. //@apireg:group:title dbi
  3067. //@apireg:title DBI_CH_OFFSET_ADJUST_CH34
  3068. //@apireg:software:name dbi_ch_offset_adjust_ch34
  3069. //@apireg:value:appoint bit-width:16 ; 16g+5g模式两个通道间偏移调整
  3070. //@apireg:desc abs-addr:0XC970; none
  3071. //@apireg:note reg_hw_name:dbi_ch_offset_adjust_ch34
  3072. //@apireg:0xaddr 0X8800 | (((0X15C&0XFF) << 2) | ((0X15C&0X100) << 6))
  3073. 9'H15C : dbi_ch_offset_adjust_ch34 <= cmd_iowr_d[15:0];
  3074. //@apireg:group:title dbi
  3075. //@apireg:title SEL_TRIG_OR_PRO_PROG_FULL
  3076. //@apireg:software:name sel_trig_or_pro_prog_full
  3077. //@apireg:value:appoint bit-width:1 ; 选择传递触发信号还是编程满信号
  3078. //@apireg:desc abs-addr:0XC978; none
  3079. //@apireg:note reg_hw_name:sel_trig_or_pro_prog_full
  3080. //@apireg:0xaddr 0X8800 | (((0X15E&0XFF) << 2) | ((0X15E&0X100) << 6))
  3081. 9'H15E : sel_trig_or_pro_prog_full <= cmd_iowr_d[0:0];
  3082. //@apireg:group:title debug
  3083. //@apireg:title PRO_DEBUG_MODE
  3084. //@apireg:software:name pro_debug_mode
  3085. //@apireg:value:appoint bit-width:16 ; [0]:1 单采集板调试模式 0:正常模式
  3086. //@apireg:desc abs-addr:0X8B24; none
  3087. //@apireg:note reg_hw_name:pro_debug_mode
  3088. //@apireg:0xaddr 0X8800 | (((0XC9&0XFF) << 2) | ((0XC9&0X100) << 6))
  3089. 9'H0C9 : pro_debug_mode <= cmd_iowr_d[15:0];
  3090. //@apireg:group:title ext_10m
  3091. //@apireg:title EXT_10M_SEL
  3092. //@apireg:software:name ext_10m_sel
  3093. //@apireg:value:appoint bit-width:16 ; [0]:为1表示选择外部10m
  3094. //@apireg:desc abs-addr:0XC8AC; none
  3095. //@apireg:note reg_hw_name:ext_10m_sel
  3096. //@apireg:0xaddr 0X8800 | (((0X12B&0XFF) << 2) | ((0X12B&0X100) << 6))
  3097. 9'H12B : ext_10m_sel <= cmd_iowr_d[15:0];
  3098. //@apireg:group:title fifoCtrl
  3099. //@apireg:title SYS_RESETPROACQ
  3100. //@apireg:software:name FIFO_RST
  3101. //@apireg:value:appoint bit-width:16 ; 采集板和处理板采集复位
  3102. //@apireg:desc abs-addr:0XC9DC; none
  3103. //@apireg:note reg_hw_name:sys_resetproacq
  3104. //@apireg:0xaddr 0X8800 | (((0X177&0XFF) << 2) | ((0X177&0X100) << 6))
  3105. 9'H177 : sys_resetproacq <= cmd_iowr_d[15:0];
  3106. //@apireg:group:title la
  3107. //@apireg:title LA_TRIG_2ND_PRETRIG_DEPTH
  3108. //@apireg:software:name la_trig_2nd_pretrig_depth
  3109. //@apireg:value:appoint bit-width:16 ; la 二级触发预触发深度
  3110. //@apireg:desc abs-addr:0X8B28; none
  3111. //@apireg:note reg_hw_name:la_trig_2nd_pretrig_depth
  3112. //@apireg:0xaddr 0X8800 | (((0XCA&0XFF) << 2) | ((0XCA&0X100) << 6))
  3113. 9'H0CA : la_trig_2nd_pretrig_depth <= cmd_iowr_d[15:0];
  3114. //@apireg:group:title reverse
  3115. //@apireg:title PRO_REVERSE_WR_REG_0
  3116. //@apireg:software:name pro_reverse_wr_reg_0
  3117. //@apireg:value:appoint bit-width:16 ; 处理板备用写寄存器
  3118. //@apireg:desc abs-addr:0X8B1C; none
  3119. //@apireg:note reg_hw_name:pro_reverse_wr_reg_0
  3120. //@apireg:0xaddr 0X8800 | (((0XC7&0XFF) << 2) | ((0XC7&0X100) << 6))
  3121. 9'H0C7 : pro_reverse_wr_reg_0 <= cmd_iowr_d[15:0];
  3122. //@apireg:group:title reverse
  3123. //@apireg:title PRO_REVERSE_WR_REG_1
  3124. //@apireg:software:name pro_reverse_wr_reg_1
  3125. //@apireg:value:appoint bit-width:16 ; 处理板备用写寄存器
  3126. //@apireg:desc abs-addr:0X8B20; none
  3127. //@apireg:note reg_hw_name:pro_reverse_wr_reg_1
  3128. //@apireg:0xaddr 0X8800 | (((0XC8&0XFF) << 2) | ((0XC8&0X100) << 6))
  3129. 9'H0C8 : pro_reverse_wr_reg_1 <= cmd_iowr_d[15:0];
  3130. //@apireg:group:title scan_sync
  3131. //@apireg:title TRIG_LOCATION_SCAN_RST
  3132. //@apireg:software:name trig_location_scan_rst
  3133. //@apireg:value:appoint bit-width:1 ; 1复位,0释放 处理板往采集板发送触发位置tx端复位
  3134. //@apireg:desc abs-addr:0X8BC0; none
  3135. //@apireg:note reg_hw_name:trig_location_scan_rst
  3136. //@apireg:0xaddr 0X8800 | (((0XF0&0XFF) << 2) | ((0XF0&0X100) << 6))
  3137. 9'H0F0 : trig_location_scan_rst <= cmd_iowr_d[0:0];
  3138. //@apireg:group:title scan_sync
  3139. //@apireg:title TRIG_LOCATION_SCAN_SWITCH_PRO
  3140. //@apireg:software:name trig_location_scan_switch_pro
  3141. //@apireg:value:appoint bit-width:1 ; 0:test mode 1-正常数据模式 处理板往采集板发送触发位置tx端模式
  3142. //@apireg:desc abs-addr:0X8BC4; none
  3143. //@apireg:note reg_hw_name:trig_location_scan_switch_pro
  3144. //@apireg:0xaddr 0X8800 | (((0XF1&0XFF) << 2) | ((0XF1&0X100) << 6))
  3145. 9'H0F1 : trig_location_scan_switch_pro <= cmd_iowr_d[0:0];
  3146. //@apireg:group:title scan_sync
  3147. //@apireg:title SYNC_TRIG_LOCAT_ACQ1
  3148. //@apireg:software:name setting_trig_locat_acq1
  3149. //@apireg:value:appoint bit-width:16 ; 处理板对采集板1发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3150. //@apireg:desc abs-addr:0X8BC8; none
  3151. //@apireg:note reg_hw_name:sync_trig_locat_acq1
  3152. //@apireg:0xaddr 0X8800 | (((0XF2&0XFF) << 2) | ((0XF2&0X100) << 6))
  3153. 9'H0F2 : sync_trig_locat_acq1 <= cmd_iowr_d[15:0];
  3154. //@apireg:group:title scan_sync
  3155. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ1
  3156. //@apireg:software:name sync_trig_locat_TAP_start_acq1
  3157. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3158. //@apireg:desc abs-addr:0X8BCC; none
  3159. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq1
  3160. //@apireg:0xaddr 0X8800 | (((0XF3&0XFF) << 2) | ((0XF3&0X100) << 6))
  3161. 9'H0F3 : sync_trig_locat_tap_start_acq1 <= cmd_iowr_d[15:0];
  3162. //@apireg:group:title scan_sync
  3163. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ1
  3164. //@apireg:software:name sync_trig_locat_TAP_stop_acq1
  3165. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3166. //@apireg:desc abs-addr:0X8BD0; none
  3167. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq1
  3168. //@apireg:0xaddr 0X8800 | (((0XF4&0XFF) << 2) | ((0XF4&0X100) << 6))
  3169. 9'H0F4 : sync_trig_locat_tap_stop_acq1 <= cmd_iowr_d[15:0];
  3170. //@apireg:group:title scan_sync
  3171. //@apireg:title SYNC_TRIG_LOCAT_ACQ2
  3172. //@apireg:software:name setting_trig_locat_acq2
  3173. //@apireg:value:appoint bit-width:16 ; 处理板对采集板2发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3174. //@apireg:desc abs-addr:0X8BD4; none
  3175. //@apireg:note reg_hw_name:sync_trig_locat_acq2
  3176. //@apireg:0xaddr 0X8800 | (((0XF5&0XFF) << 2) | ((0XF5&0X100) << 6))
  3177. 9'H0F5 : sync_trig_locat_acq2 <= cmd_iowr_d[15:0];
  3178. //@apireg:group:title scan_sync
  3179. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ2
  3180. //@apireg:software:name sync_trig_locat_TAP_start_acq2
  3181. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3182. //@apireg:desc abs-addr:0X8BD8; none
  3183. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq2
  3184. //@apireg:0xaddr 0X8800 | (((0XF6&0XFF) << 2) | ((0XF6&0X100) << 6))
  3185. 9'H0F6 : sync_trig_locat_tap_start_acq2 <= cmd_iowr_d[15:0];
  3186. //@apireg:group:title scan_sync
  3187. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ2
  3188. //@apireg:software:name sync_trig_locat_TAP_stop_acq2
  3189. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3190. //@apireg:desc abs-addr:0X8BDC; none
  3191. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq2
  3192. //@apireg:0xaddr 0X8800 | (((0XF7&0XFF) << 2) | ((0XF7&0X100) << 6))
  3193. 9'H0F7 : sync_trig_locat_tap_stop_acq2 <= cmd_iowr_d[15:0];
  3194. //@apireg:group:title scan_sync
  3195. //@apireg:title SYNC_TRIG_LOCAT_ACQ3
  3196. //@apireg:software:name setting_trig_locat_acq3
  3197. //@apireg:value:appoint bit-width:16 ; 处理板对采集板3发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3198. //@apireg:desc abs-addr:0X8BE0; none
  3199. //@apireg:note reg_hw_name:sync_trig_locat_acq3
  3200. //@apireg:0xaddr 0X8800 | (((0XF8&0XFF) << 2) | ((0XF8&0X100) << 6))
  3201. 9'H0F8 : sync_trig_locat_acq3 <= cmd_iowr_d[15:0];
  3202. //@apireg:group:title scan_sync
  3203. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ3
  3204. //@apireg:software:name sync_trig_locat_TAP_start_acq3
  3205. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3206. //@apireg:desc abs-addr:0X8BE4; none
  3207. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq3
  3208. //@apireg:0xaddr 0X8800 | (((0XF9&0XFF) << 2) | ((0XF9&0X100) << 6))
  3209. 9'H0F9 : sync_trig_locat_tap_start_acq3 <= cmd_iowr_d[15:0];
  3210. //@apireg:group:title scan_sync
  3211. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ3
  3212. //@apireg:software:name sync_trig_locat_TAP_stop_acq3
  3213. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3214. //@apireg:desc abs-addr:0X8BE8; none
  3215. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq3
  3216. //@apireg:0xaddr 0X8800 | (((0XFA&0XFF) << 2) | ((0XFA&0X100) << 6))
  3217. 9'H0FA : sync_trig_locat_tap_stop_acq3 <= cmd_iowr_d[15:0];
  3218. //@apireg:group:title scan_sync
  3219. //@apireg:title SYNC_TRIG_LOCAT_ACQ4
  3220. //@apireg:software:name setting_trig_locat_acq4
  3221. //@apireg:value:appoint bit-width:16 ; 处理板对采集板4发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3222. //@apireg:desc abs-addr:0X8BEC; none
  3223. //@apireg:note reg_hw_name:sync_trig_locat_acq4
  3224. //@apireg:0xaddr 0X8800 | (((0XFB&0XFF) << 2) | ((0XFB&0X100) << 6))
  3225. 9'H0FB : sync_trig_locat_acq4 <= cmd_iowr_d[15:0];
  3226. //@apireg:group:title scan_sync
  3227. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ4
  3228. //@apireg:software:name sync_trig_locat_TAP_start_acq4
  3229. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3230. //@apireg:desc abs-addr:0X8BF0; none
  3231. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq4
  3232. //@apireg:0xaddr 0X8800 | (((0XFC&0XFF) << 2) | ((0XFC&0X100) << 6))
  3233. 9'H0FC : sync_trig_locat_tap_start_acq4 <= cmd_iowr_d[15:0];
  3234. //@apireg:group:title scan_sync
  3235. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ4
  3236. //@apireg:software:name sync_trig_locat_TAP_stop_acq4
  3237. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3238. //@apireg:desc abs-addr:0X8BF4; none
  3239. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq4
  3240. //@apireg:0xaddr 0X8800 | (((0XFD&0XFF) << 2) | ((0XFD&0X100) << 6))
  3241. 9'H0FD : sync_trig_locat_tap_stop_acq4 <= cmd_iowr_d[15:0];
  3242. //@apireg:group:title scan_sync
  3243. //@apireg:title FIFO_CTRL_SCAN_RST
  3244. //@apireg:software:name fifo_ctrl_scan_rst
  3245. //@apireg:value:appoint bit-width:1 ; 1复位,0释放 处理板往采集板发送fifo_ctrl 信号 tx端复位
  3246. //@apireg:desc abs-addr:0X8BF8; none
  3247. //@apireg:note reg_hw_name:fifo_ctrl_scan_rst
  3248. //@apireg:0xaddr 0X8800 | (((0XFE&0XFF) << 2) | ((0XFE&0X100) << 6))
  3249. 9'H0FE : fifo_ctrl_scan_rst <= cmd_iowr_d[0:0];
  3250. //@apireg:group:title scan_sync
  3251. //@apireg:title FIFO_CTRL_SCAN_SWITCH_PRO
  3252. //@apireg:software:name fifo_ctrl_scan_switch_pro
  3253. //@apireg:value:appoint bit-width:1 ; 0:test mode 1-正常数据模式 处理板往采集板发送fifo_ctrl信号 tx端切换
  3254. //@apireg:desc abs-addr:0X8BFC; none
  3255. //@apireg:note reg_hw_name:fifo_ctrl_scan_switch_pro
  3256. //@apireg:0xaddr 0X8800 | (((0XFF&0XFF) << 2) | ((0XFF&0X100) << 6))
  3257. 9'H0FF : fifo_ctrl_scan_switch_pro <= cmd_iowr_d[0:0];
  3258. //@apireg:group:title scan_sync
  3259. //@apireg:title SYNC_TRIG_ACQ1
  3260. //@apireg:software:name setting_trig_acq1
  3261. //@apireg:value:appoint bit-width:16 ; 处理板对采集板1发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3262. //@apireg:desc abs-addr:0XC814; none
  3263. //@apireg:note reg_hw_name:sync_trig_acq1
  3264. //@apireg:0xaddr 0X8800 | (((0X105&0XFF) << 2) | ((0X105&0X100) << 6))
  3265. 9'H105 : sync_trig_acq1 <= cmd_iowr_d[15:0];
  3266. //@apireg:group:title scan_sync
  3267. //@apireg:title SYNC_TRIG_TAP_START_ACQ1
  3268. //@apireg:software:name sync_trig_TAP_start_acq1
  3269. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3270. //@apireg:desc abs-addr:0XC818; none
  3271. //@apireg:note reg_hw_name:sync_trig_tap_start_acq1
  3272. //@apireg:0xaddr 0X8800 | (((0X106&0XFF) << 2) | ((0X106&0X100) << 6))
  3273. 9'H106 : sync_trig_tap_start_acq1 <= cmd_iowr_d[15:0];
  3274. //@apireg:group:title scan_sync
  3275. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ1
  3276. //@apireg:software:name sync_trig_TAP_stop_acq1
  3277. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3278. //@apireg:desc abs-addr:0XC81C; none
  3279. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq1
  3280. //@apireg:0xaddr 0X8800 | (((0X107&0XFF) << 2) | ((0X107&0X100) << 6))
  3281. 9'H107 : sync_trig_tap_stop_acq1 <= cmd_iowr_d[15:0];
  3282. //@apireg:group:title scan_sync
  3283. //@apireg:title SYNC_TRIG_ACQ2
  3284. //@apireg:software:name setting_trig_acq2
  3285. //@apireg:value:appoint bit-width:16 ; 处理板对采集板2发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3286. //@apireg:desc abs-addr:0XC820; none
  3287. //@apireg:note reg_hw_name:sync_trig_acq2
  3288. //@apireg:0xaddr 0X8800 | (((0X108&0XFF) << 2) | ((0X108&0X100) << 6))
  3289. 9'H108 : sync_trig_acq2 <= cmd_iowr_d[15:0];
  3290. //@apireg:group:title scan_sync
  3291. //@apireg:title SYNC_TRIG_TAP_START_ACQ2
  3292. //@apireg:software:name sync_trig_TAP_start_acq2
  3293. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3294. //@apireg:desc abs-addr:0XC824; none
  3295. //@apireg:note reg_hw_name:sync_trig_tap_start_acq2
  3296. //@apireg:0xaddr 0X8800 | (((0X109&0XFF) << 2) | ((0X109&0X100) << 6))
  3297. 9'H109 : sync_trig_tap_start_acq2 <= cmd_iowr_d[15:0];
  3298. //@apireg:group:title scan_sync
  3299. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ2
  3300. //@apireg:software:name sync_trig_TAP_stop_acq2
  3301. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3302. //@apireg:desc abs-addr:0XC828; none
  3303. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq2
  3304. //@apireg:0xaddr 0X8800 | (((0X10A&0XFF) << 2) | ((0X10A&0X100) << 6))
  3305. 9'H10A : sync_trig_tap_stop_acq2 <= cmd_iowr_d[15:0];
  3306. //@apireg:group:title scan_sync
  3307. //@apireg:title SYNC_TRIG_ACQ3
  3308. //@apireg:software:name setting_trig_acq3
  3309. //@apireg:value:appoint bit-width:16 ; 处理板对采集板3发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3310. //@apireg:desc abs-addr:0XC82C; none
  3311. //@apireg:note reg_hw_name:sync_trig_acq3
  3312. //@apireg:0xaddr 0X8800 | (((0X10B&0XFF) << 2) | ((0X10B&0X100) << 6))
  3313. 9'H10B : sync_trig_acq3 <= cmd_iowr_d[15:0];
  3314. //@apireg:group:title scan_sync
  3315. //@apireg:title SYNC_TRIG_TAP_START_ACQ3
  3316. //@apireg:software:name sync_trig_TAP_start_acq3
  3317. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3318. //@apireg:desc abs-addr:0XC830; none
  3319. //@apireg:note reg_hw_name:sync_trig_tap_start_acq3
  3320. //@apireg:0xaddr 0X8800 | (((0X10C&0XFF) << 2) | ((0X10C&0X100) << 6))
  3321. 9'H10C : sync_trig_tap_start_acq3 <= cmd_iowr_d[15:0];
  3322. //@apireg:group:title scan_sync
  3323. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ3
  3324. //@apireg:software:name sync_trig_TAP_stop_acq3
  3325. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3326. //@apireg:desc abs-addr:0XC834; none
  3327. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq3
  3328. //@apireg:0xaddr 0X8800 | (((0X10D&0XFF) << 2) | ((0X10D&0X100) << 6))
  3329. 9'H10D : sync_trig_tap_stop_acq3 <= cmd_iowr_d[15:0];
  3330. //@apireg:group:title scan_sync
  3331. //@apireg:title SYNC_TRIG_ACQ4
  3332. //@apireg:software:name setting_trig_acq4
  3333. //@apireg:value:appoint bit-width:16 ; 处理板对采集板4发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3334. //@apireg:desc abs-addr:0XC838; none
  3335. //@apireg:note reg_hw_name:sync_trig_acq4
  3336. //@apireg:0xaddr 0X8800 | (((0X10E&0XFF) << 2) | ((0X10E&0X100) << 6))
  3337. 9'H10E : sync_trig_acq4 <= cmd_iowr_d[15:0];
  3338. //@apireg:group:title scan_sync
  3339. //@apireg:title SYNC_TRIG_TAP_START_ACQ4
  3340. //@apireg:software:name sync_trig_TAP_start_acq4
  3341. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3342. //@apireg:desc abs-addr:0XC83C; none
  3343. //@apireg:note reg_hw_name:sync_trig_tap_start_acq4
  3344. //@apireg:0xaddr 0X8800 | (((0X10F&0XFF) << 2) | ((0X10F&0X100) << 6))
  3345. 9'H10F : sync_trig_tap_start_acq4 <= cmd_iowr_d[15:0];
  3346. //@apireg:group:title scan_sync
  3347. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ4
  3348. //@apireg:software:name sync_trig_TAP_stop_acq4
  3349. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3350. //@apireg:desc abs-addr:0XC840; none
  3351. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq4
  3352. //@apireg:0xaddr 0X8800 | (((0X110&0XFF) << 2) | ((0X110&0X100) << 6))
  3353. 9'H110 : sync_trig_tap_stop_acq4 <= cmd_iowr_d[15:0];
  3354. //@apireg:group:title scan_sync
  3355. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ1
  3356. //@apireg:software:name tap_load_set_trig_acq1
  3357. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3358. //@apireg:desc abs-addr:0XC88C; none
  3359. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq1
  3360. //@apireg:0xaddr 0X8800 | (((0X123&0XFF) << 2) | ((0X123&0X100) << 6))
  3361. 9'H123 : sync_trig_tap_load_set_acq1 <= cmd_iowr_d[15:0];
  3362. //@apireg:group:title scan_sync
  3363. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ2
  3364. //@apireg:software:name tap_load_set_trig_acq2
  3365. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3366. //@apireg:desc abs-addr:0XC890; none
  3367. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq2
  3368. //@apireg:0xaddr 0X8800 | (((0X124&0XFF) << 2) | ((0X124&0X100) << 6))
  3369. 9'H124 : sync_trig_tap_load_set_acq2 <= cmd_iowr_d[15:0];
  3370. //@apireg:group:title scan_sync
  3371. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ3
  3372. //@apireg:software:name tap_load_set_trig_acq3
  3373. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3374. //@apireg:desc abs-addr:0XC894; none
  3375. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq3
  3376. //@apireg:0xaddr 0X8800 | (((0X125&0XFF) << 2) | ((0X125&0X100) << 6))
  3377. 9'H125 : sync_trig_tap_load_set_acq3 <= cmd_iowr_d[15:0];
  3378. //@apireg:group:title scan_sync
  3379. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ4
  3380. //@apireg:software:name tap_load_set_trig_acq4
  3381. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3382. //@apireg:desc abs-addr:0XC898; none
  3383. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq4
  3384. //@apireg:0xaddr 0X8800 | (((0X126&0XFF) << 2) | ((0X126&0X100) << 6))
  3385. 9'H126 : sync_trig_tap_load_set_acq4 <= cmd_iowr_d[15:0];
  3386. //@apireg:group:title scan_sync
  3387. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ1
  3388. //@apireg:software:name tap_load_set_trig_locat_acq1
  3389. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3390. //@apireg:desc abs-addr:0XC89C; none
  3391. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq1
  3392. //@apireg:0xaddr 0X8800 | (((0X127&0XFF) << 2) | ((0X127&0X100) << 6))
  3393. 9'H127 : sync_trig_locat_tap_load_set_acq1 <= cmd_iowr_d[15:0];
  3394. //@apireg:group:title scan_sync
  3395. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ2
  3396. //@apireg:software:name tap_load_set_trig_locat_acq2
  3397. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3398. //@apireg:desc abs-addr:0XC8A0; none
  3399. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq2
  3400. //@apireg:0xaddr 0X8800 | (((0X128&0XFF) << 2) | ((0X128&0X100) << 6))
  3401. 9'H128 : sync_trig_locat_tap_load_set_acq2 <= cmd_iowr_d[15:0];
  3402. //@apireg:group:title scan_sync
  3403. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ3
  3404. //@apireg:software:name tap_load_set_trig_locat_acq3
  3405. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3406. //@apireg:desc abs-addr:0XC8A4; none
  3407. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq3
  3408. //@apireg:0xaddr 0X8800 | (((0X129&0XFF) << 2) | ((0X129&0X100) << 6))
  3409. 9'H129 : sync_trig_locat_tap_load_set_acq3 <= cmd_iowr_d[15:0];
  3410. //@apireg:group:title scan_sync
  3411. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ4
  3412. //@apireg:software:name tap_load_set_trig_locat_acq4
  3413. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3414. //@apireg:desc abs-addr:0XC8A8; none
  3415. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq4
  3416. //@apireg:0xaddr 0X8800 | (((0X12A&0XFF) << 2) | ((0X12A&0X100) << 6))
  3417. 9'H12A : sync_trig_locat_tap_load_set_acq4 <= cmd_iowr_d[15:0];
  3418. //@apireg:group:title scan_sync
  3419. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ5
  3420. //@apireg:software:name tap_load_set_trig_acq5
  3421. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3422. //@apireg:desc abs-addr:0XC8C4; none
  3423. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq5
  3424. //@apireg:0xaddr 0X8800 | (((0X131&0XFF) << 2) | ((0X131&0X100) << 6))
  3425. 9'H131 : sync_trig_tap_load_set_acq5 <= cmd_iowr_d[15:0];
  3426. //@apireg:group:title scan_sync
  3427. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ6
  3428. //@apireg:software:name tap_load_set_trig_acq6
  3429. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3430. //@apireg:desc abs-addr:0XC8C8; none
  3431. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq6
  3432. //@apireg:0xaddr 0X8800 | (((0X132&0XFF) << 2) | ((0X132&0X100) << 6))
  3433. 9'H132 : sync_trig_tap_load_set_acq6 <= cmd_iowr_d[15:0];
  3434. //@apireg:group:title scan_sync
  3435. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ7
  3436. //@apireg:software:name tap_load_set_trig_acq7
  3437. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3438. //@apireg:desc abs-addr:0XC8CC; none
  3439. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq7
  3440. //@apireg:0xaddr 0X8800 | (((0X133&0XFF) << 2) | ((0X133&0X100) << 6))
  3441. 9'H133 : sync_trig_tap_load_set_acq7 <= cmd_iowr_d[15:0];
  3442. //@apireg:group:title scan_sync
  3443. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ8
  3444. //@apireg:software:name tap_load_set_trig_acq8
  3445. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3446. //@apireg:desc abs-addr:0XC8D0; none
  3447. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq8
  3448. //@apireg:0xaddr 0X8800 | (((0X134&0XFF) << 2) | ((0X134&0X100) << 6))
  3449. 9'H134 : sync_trig_tap_load_set_acq8 <= cmd_iowr_d[15:0];
  3450. //@apireg:group:title scan_sync
  3451. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ5
  3452. //@apireg:software:name tap_load_set_trig_locat_acq5
  3453. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3454. //@apireg:desc abs-addr:0XC8D4; none
  3455. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq5
  3456. //@apireg:0xaddr 0X8800 | (((0X135&0XFF) << 2) | ((0X135&0X100) << 6))
  3457. 9'H135 : sync_trig_locat_tap_load_set_acq5 <= cmd_iowr_d[15:0];
  3458. //@apireg:group:title scan_sync
  3459. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ6
  3460. //@apireg:software:name tap_load_set_trig_locat_acq6
  3461. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3462. //@apireg:desc abs-addr:0XC8D8; none
  3463. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq6
  3464. //@apireg:0xaddr 0X8800 | (((0X136&0XFF) << 2) | ((0X136&0X100) << 6))
  3465. 9'H136 : sync_trig_locat_tap_load_set_acq6 <= cmd_iowr_d[15:0];
  3466. //@apireg:group:title scan_sync
  3467. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ7
  3468. //@apireg:software:name tap_load_set_trig_locat_acq7
  3469. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3470. //@apireg:desc abs-addr:0XC8DC; none
  3471. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq7
  3472. //@apireg:0xaddr 0X8800 | (((0X137&0XFF) << 2) | ((0X137&0X100) << 6))
  3473. 9'H137 : sync_trig_locat_tap_load_set_acq7 <= cmd_iowr_d[15:0];
  3474. //@apireg:group:title scan_sync
  3475. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ8
  3476. //@apireg:software:name tap_load_set_trig_locat_acq8
  3477. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  3478. //@apireg:desc abs-addr:0XC8E0; none
  3479. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq8
  3480. //@apireg:0xaddr 0X8800 | (((0X138&0XFF) << 2) | ((0X138&0X100) << 6))
  3481. 9'H138 : sync_trig_locat_tap_load_set_acq8 <= cmd_iowr_d[15:0];
  3482. //@apireg:group:title scan_sync
  3483. //@apireg:title SYNC_TRIG_LOCAT_ACQ5
  3484. //@apireg:software:name setting_trig_locat_acq5
  3485. //@apireg:value:appoint bit-width:16 ; 处理板对采集板5发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3486. //@apireg:desc abs-addr:0XC8E4; none
  3487. //@apireg:note reg_hw_name:sync_trig_locat_acq5
  3488. //@apireg:0xaddr 0X8800 | (((0X139&0XFF) << 2) | ((0X139&0X100) << 6))
  3489. 9'H139 : sync_trig_locat_acq5 <= cmd_iowr_d[15:0];
  3490. //@apireg:group:title scan_sync
  3491. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ5
  3492. //@apireg:software:name sync_trig_locat_TAP_start_acq5
  3493. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3494. //@apireg:desc abs-addr:0XC8E8; none
  3495. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq5
  3496. //@apireg:0xaddr 0X8800 | (((0X13A&0XFF) << 2) | ((0X13A&0X100) << 6))
  3497. 9'H13A : sync_trig_locat_tap_start_acq5 <= cmd_iowr_d[15:0];
  3498. //@apireg:group:title scan_sync
  3499. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ5
  3500. //@apireg:software:name sync_trig_locat_TAP_stop_acq5
  3501. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3502. //@apireg:desc abs-addr:0XC8EC; none
  3503. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq5
  3504. //@apireg:0xaddr 0X8800 | (((0X13B&0XFF) << 2) | ((0X13B&0X100) << 6))
  3505. 9'H13B : sync_trig_locat_tap_stop_acq5 <= cmd_iowr_d[15:0];
  3506. //@apireg:group:title scan_sync
  3507. //@apireg:title SYNC_TRIG_LOCAT_ACQ6
  3508. //@apireg:software:name setting_trig_locat_acq6
  3509. //@apireg:value:appoint bit-width:16 ; 处理板对采集板6发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3510. //@apireg:desc abs-addr:0XC8F0; none
  3511. //@apireg:note reg_hw_name:sync_trig_locat_acq6
  3512. //@apireg:0xaddr 0X8800 | (((0X13C&0XFF) << 2) | ((0X13C&0X100) << 6))
  3513. 9'H13C : sync_trig_locat_acq6 <= cmd_iowr_d[15:0];
  3514. //@apireg:group:title scan_sync
  3515. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ6
  3516. //@apireg:software:name sync_trig_locat_TAP_start_acq6
  3517. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3518. //@apireg:desc abs-addr:0XC8F4; none
  3519. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq6
  3520. //@apireg:0xaddr 0X8800 | (((0X13D&0XFF) << 2) | ((0X13D&0X100) << 6))
  3521. 9'H13D : sync_trig_locat_tap_start_acq6 <= cmd_iowr_d[15:0];
  3522. //@apireg:group:title scan_sync
  3523. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ6
  3524. //@apireg:software:name sync_trig_locat_TAP_stop_acq6
  3525. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3526. //@apireg:desc abs-addr:0XC8F8; none
  3527. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq6
  3528. //@apireg:0xaddr 0X8800 | (((0X13E&0XFF) << 2) | ((0X13E&0X100) << 6))
  3529. 9'H13E : sync_trig_locat_tap_stop_acq6 <= cmd_iowr_d[15:0];
  3530. //@apireg:group:title scan_sync
  3531. //@apireg:title SYNC_TRIG_LOCAT_ACQ7
  3532. //@apireg:software:name setting_trig_locat_acq7
  3533. //@apireg:value:appoint bit-width:16 ; 处理板对采集板7发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3534. //@apireg:desc abs-addr:0XC8FC; none
  3535. //@apireg:note reg_hw_name:sync_trig_locat_acq7
  3536. //@apireg:0xaddr 0X8800 | (((0X13F&0XFF) << 2) | ((0X13F&0X100) << 6))
  3537. 9'H13F : sync_trig_locat_acq7 <= cmd_iowr_d[15:0];
  3538. //@apireg:group:title scan_sync
  3539. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ7
  3540. //@apireg:software:name sync_trig_locat_TAP_start_acq7
  3541. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3542. //@apireg:desc abs-addr:0XC900; none
  3543. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq7
  3544. //@apireg:0xaddr 0X8800 | (((0X140&0XFF) << 2) | ((0X140&0X100) << 6))
  3545. 9'H140 : sync_trig_locat_tap_start_acq7 <= cmd_iowr_d[15:0];
  3546. //@apireg:group:title scan_sync
  3547. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ7
  3548. //@apireg:software:name sync_trig_locat_TAP_stop_acq7
  3549. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3550. //@apireg:desc abs-addr:0XC904; none
  3551. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq7
  3552. //@apireg:0xaddr 0X8800 | (((0X141&0XFF) << 2) | ((0X141&0X100) << 6))
  3553. 9'H141 : sync_trig_locat_tap_stop_acq7 <= cmd_iowr_d[15:0];
  3554. //@apireg:group:title scan_sync
  3555. //@apireg:title SYNC_TRIG_LOCAT_ACQ8
  3556. //@apireg:software:name setting_trig_locat_acq8
  3557. //@apireg:value:appoint bit-width:16 ; 处理板对采集板8发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  3558. //@apireg:desc abs-addr:0XC908; none
  3559. //@apireg:note reg_hw_name:sync_trig_locat_acq8
  3560. //@apireg:0xaddr 0X8800 | (((0X142&0XFF) << 2) | ((0X142&0X100) << 6))
  3561. 9'H142 : sync_trig_locat_acq8 <= cmd_iowr_d[15:0];
  3562. //@apireg:group:title scan_sync
  3563. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ8
  3564. //@apireg:software:name sync_trig_locat_TAP_start_acq8
  3565. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  3566. //@apireg:desc abs-addr:0XC90C; none
  3567. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq8
  3568. //@apireg:0xaddr 0X8800 | (((0X143&0XFF) << 2) | ((0X143&0X100) << 6))
  3569. 9'H143 : sync_trig_locat_tap_start_acq8 <= cmd_iowr_d[15:0];
  3570. //@apireg:group:title scan_sync
  3571. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ8
  3572. //@apireg:software:name sync_trig_locat_TAP_stop_acq8
  3573. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  3574. //@apireg:desc abs-addr:0XC910; none
  3575. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq8
  3576. //@apireg:0xaddr 0X8800 | (((0X144&0XFF) << 2) | ((0X144&0X100) << 6))
  3577. 9'H144 : sync_trig_locat_tap_stop_acq8 <= cmd_iowr_d[15:0];
  3578. //@apireg:group:title scan_sync
  3579. //@apireg:title DCM_RST_READBACK_TAP
  3580. //@apireg:software:name DCM_RST_BACK
  3581. //@apireg:value:appoint bit-width:16 ; 8bit回读比较序列,dcm_rst扫窗
  3582. //@apireg:desc abs-addr:0XC9AC; none
  3583. //@apireg:note reg_hw_name:dcm_rst_readback_tap
  3584. //@apireg:0xaddr 0X8800 | (((0X16B&0XFF) << 2) | ((0X16B&0X100) << 6))
  3585. 9'H16B : dcm_rst_readback_tap <= cmd_iowr_d[15:0];
  3586. //@apireg:group:title search
  3587. //@apireg:title PC_SEARCH_PRO_EN
  3588. //@apireg:software:name pc_search_pro_en
  3589. //@apireg:value:appoint bit-width:1 ; 波形搜索使能
  3590. //@apireg:desc abs-addr:0XC8B0; none
  3591. //@apireg:note reg_hw_name:pc_search_pro_en
  3592. //@apireg:0xaddr 0X8800 | (((0X12C&0XFF) << 2) | ((0X12C&0X100) << 6))
  3593. 9'H12C : pc_search_pro_en <= cmd_iowr_d[0:0];
  3594. //@apireg:group:title search
  3595. //@apireg:title PC_SEARCH_DATA_NUML16
  3596. //@apireg:software:name PC_search_data_numl16
  3597. //@apireg:value:appoint bit-width:16 ; 波形搜索单次最大搜索数据量低16位
  3598. //@apireg:desc abs-addr:0XC8B4; none
  3599. //@apireg:note reg_hw_name:pc_search_data_numl16
  3600. //@apireg:0xaddr 0X8800 | (((0X12D&0XFF) << 2) | ((0X12D&0X100) << 6))
  3601. 9'H12D : pc_search_data_numl16 <= cmd_iowr_d[15:0];
  3602. //@apireg:group:title search
  3603. //@apireg:title PC_SEARCH_DATA_NUMH16
  3604. //@apireg:software:name PC_search_data_numh16
  3605. //@apireg:value:appoint bit-width:16 ; 波形搜索单次最大搜索数据量高16位
  3606. //@apireg:desc abs-addr:0XC8B8; none
  3607. //@apireg:note reg_hw_name:pc_search_data_numh16
  3608. //@apireg:0xaddr 0X8800 | (((0X12E&0XFF) << 2) | ((0X12E&0X100) << 6))
  3609. 9'H12E : pc_search_data_numh16 <= cmd_iowr_d[15:0];
  3610. //@apireg:group:title search
  3611. //@apireg:title PC_SEARCH_POINT_NUM
  3612. //@apireg:software:name PC_search_point_num
  3613. //@apireg:value:appoint bit-width:16 ; 波形搜索单次最大搜索特征点数量
  3614. //@apireg:desc abs-addr:0XC8BC; none
  3615. //@apireg:note reg_hw_name:pc_search_point_num
  3616. //@apireg:0xaddr 0X8800 | (((0X12F&0XFF) << 2) | ((0X12F&0X100) << 6))
  3617. 9'H12F : pc_search_point_num <= cmd_iowr_d[15:0];
  3618. //@apireg:group:title search
  3619. //@apireg:title PC_READ_EN
  3620. //@apireg:software:name PC_read_en
  3621. //@apireg:value:appoint bit-width:1 ; 波形搜索存储fifo单次读使能
  3622. //@apireg:desc abs-addr:0XC8C0; none
  3623. //@apireg:note reg_hw_name:pc_read_en
  3624. //@apireg:0xaddr 0X8800 | (((0X130&0XFF) << 2) | ((0X130&0X100) << 6))
  3625. 9'H130 : pc_read_en <= cmd_iowr_d[0:0];
  3626. //@apireg:group:title search
  3627. //@apireg:title PC_SEARCH_TYPE
  3628. //@apireg:software:name pc_search_type
  3629. //@apireg:value:appoint bit-width:11 ; 搜索类型选择[2:0]表示单双或la触发选择(0代表单通道二级触发) [6:3]代表单通道触发类型选择 [10:7]代表多通道触发类型选择
  3630. //@apireg:desc abs-addr:0XC914; 版本信息,备注,,,,
  3631. //@apireg:note reg_hw_name:pc_search_type
  3632. //@apireg:0xaddr 0X8800 | (((0X145&0XFF) << 2) | ((0X145&0X100) << 6))
  3633. 9'H145 : pc_search_type <= cmd_iowr_d[10:0];
  3634. //@apireg:group:title search
  3635. //@apireg:title PC_SEARCH_SOURCE_SEL
  3636. //@apireg:software:name pc_search_source_sel
  3637. //@apireg:value:appoint bit-width:3 ; 搜索数据源选择
  3638. //@apireg:desc abs-addr:0XC918; 版本信息,备注,,,,
  3639. //@apireg:note reg_hw_name:pc_search_source_sel
  3640. //@apireg:0xaddr 0X8800 | (((0X146&0XFF) << 2) | ((0X146&0X100) << 6))
  3641. 9'H146 : pc_search_source_sel <= cmd_iowr_d[2:0];
  3642. //@apireg:group:title search
  3643. //@apireg:title PC_SEARCH_EDGE_SEL
  3644. //@apireg:software:name pc_search_edge_sel
  3645. //@apireg:value:appoint bit-width:1 ; 边沿选择 1:上升沿 0:下降沿
  3646. //@apireg:desc abs-addr:0XC91C; 版本信息,备注,,,,
  3647. //@apireg:note reg_hw_name:pc_search_edge_sel
  3648. //@apireg:0xaddr 0X8800 | (((0X147&0XFF) << 2) | ((0X147&0X100) << 6))
  3649. 9'H147 : pc_search_edge_sel <= cmd_iowr_d[0:0];
  3650. //@apireg:group:title search
  3651. //@apireg:title PC_SEARCH_PW_SET
  3652. //@apireg:software:name pc_search_pw_set
  3653. //@apireg:value:appoint bit-width:4 ; 脉宽设置(高2位代表极性,低两位判定条件)
  3654. //@apireg:desc abs-addr:0XC920; 版本信息,备注,,,,
  3655. //@apireg:note reg_hw_name:pc_search_pw_set
  3656. //@apireg:0xaddr 0X8800 | (((0X148&0XFF) << 2) | ((0X148&0X100) << 6))
  3657. 9'H148 : pc_search_pw_set <= cmd_iowr_d[3:0];
  3658. //@apireg:group:title search
  3659. //@apireg:title PC_SEARCH_WINDOW_SET
  3660. //@apireg:software:name pc_search_window_set
  3661. //@apireg:value:appoint bit-width:3 ; 最高位判断极性,低两位判断搜索条件
  3662. //@apireg:desc abs-addr:0XC924; 版本信息,备注,,,,
  3663. //@apireg:note reg_hw_name:pc_search_window_set
  3664. //@apireg:0xaddr 0X8800 | (((0X149&0XFF) << 2) | ((0X149&0X100) << 6))
  3665. 9'H149 : pc_search_window_set <= cmd_iowr_d[2:0];
  3666. //@apireg:group:title search
  3667. //@apireg:title PC_SEARCH_RUNT_SET
  3668. //@apireg:software:name pc_search_runt_set
  3669. //@apireg:value:appoint bit-width:3 ; 最高位判断极性,低两位判断搜索条件
  3670. //@apireg:desc abs-addr:0XC928; 版本信息,备注,,,,
  3671. //@apireg:note reg_hw_name:pc_search_runt_set
  3672. //@apireg:0xaddr 0X8800 | (((0X14A&0XFF) << 2) | ((0X14A&0X100) << 6))
  3673. 9'H14A : pc_search_runt_set <= cmd_iowr_d[2:0];
  3674. //@apireg:group:title search
  3675. //@apireg:title PC_SEARCH_SLOPE_SET
  3676. //@apireg:software:name pc_search_slope_set
  3677. //@apireg:value:appoint bit-width:3 ; 最高位判断极性,低两位判断搜索条件
  3678. //@apireg:desc abs-addr:0XC92C; 版本信息,备注,,,,
  3679. //@apireg:note reg_hw_name:pc_search_slope_set
  3680. //@apireg:0xaddr 0X8800 | (((0X14B&0XFF) << 2) | ((0X14B&0X100) << 6))
  3681. 9'H14B : pc_search_slope_set <= cmd_iowr_d[2:0];
  3682. //@apireg:group:title search
  3683. //@apireg:title PC_SEARCH_TIMEOUT_SET
  3684. //@apireg:software:name pc_search_timeout_set
  3685. //@apireg:value:appoint bit-width:1 ; 超时极性判断
  3686. //@apireg:desc abs-addr:0XC930; 版本信息,备注,,,,
  3687. //@apireg:note reg_hw_name:pc_search_timeout_set
  3688. //@apireg:0xaddr 0X8800 | (((0X14C&0XFF) << 2) | ((0X14C&0X100) << 6))
  3689. 9'H14C : pc_search_timeout_set <= cmd_iowr_d[0:0];
  3690. //@apireg:group:title search
  3691. //@apireg:title PC_SEARCH_DROPOUT_SET
  3692. //@apireg:software:name pc_search_dropout_set
  3693. //@apireg:value:appoint bit-width:1 ; 跌落极性判断
  3694. //@apireg:desc abs-addr:0XC934; 版本信息,备注,,,,
  3695. //@apireg:note reg_hw_name:pc_search_dropout_set
  3696. //@apireg:0xaddr 0X8800 | (((0X14D&0XFF) << 2) | ((0X14D&0X100) << 6))
  3697. 9'H14D : pc_search_dropout_set <= cmd_iowr_d[0:0];
  3698. //@apireg:group:title search
  3699. //@apireg:title PC_SEAECH_CMP1_LEVEL_L
  3700. //@apireg:software:name pc_seaech_cmp1_level_l
  3701. //@apireg:value:appoint bit-width:12 ; 低电平组低电平
  3702. //@apireg:desc abs-addr:0XC938; 版本信息,备注,,,,
  3703. //@apireg:note reg_hw_name:pc_seaech_cmp1_level_l
  3704. //@apireg:0xaddr 0X8800 | (((0X14E&0XFF) << 2) | ((0X14E&0X100) << 6))
  3705. 9'H14E : pc_seaech_cmp1_level_l <= cmd_iowr_d[11:0];
  3706. //@apireg:group:title search
  3707. //@apireg:title PC_SEAECH_CMP1_LEVEL_H
  3708. //@apireg:software:name pc_seaech_cmp1_level_h
  3709. //@apireg:value:appoint bit-width:12 ; 低电平组高电平
  3710. //@apireg:desc abs-addr:0XC93C; 版本信息,备注,,,,
  3711. //@apireg:note reg_hw_name:pc_seaech_cmp1_level_h
  3712. //@apireg:0xaddr 0X8800 | (((0X14F&0XFF) << 2) | ((0X14F&0X100) << 6))
  3713. 9'H14F : pc_seaech_cmp1_level_h <= cmd_iowr_d[11:0];
  3714. //@apireg:group:title search
  3715. //@apireg:title PC_SEAECH_CMP2_LEVEL_L
  3716. //@apireg:software:name pc_seaech_cmp2_level_l
  3717. //@apireg:value:appoint bit-width:12 ; 高电平组低电平
  3718. //@apireg:desc abs-addr:0XC940; 版本信息,备注,,,,
  3719. //@apireg:note reg_hw_name:pc_seaech_cmp2_level_l
  3720. //@apireg:0xaddr 0X8800 | (((0X150&0XFF) << 2) | ((0X150&0X100) << 6))
  3721. 9'H150 : pc_seaech_cmp2_level_l <= cmd_iowr_d[11:0];
  3722. //@apireg:group:title search
  3723. //@apireg:title PC_SEAECH_CMP2_LEVEL_H
  3724. //@apireg:software:name pc_seaech_cmp2_level_h
  3725. //@apireg:value:appoint bit-width:12 ; 高电平组高电平
  3726. //@apireg:desc abs-addr:0XC944; 版本信息,备注,,,,
  3727. //@apireg:note reg_hw_name:pc_seaech_cmp2_level_h
  3728. //@apireg:0xaddr 0X8800 | (((0X151&0XFF) << 2) | ((0X151&0X100) << 6))
  3729. 9'H151 : pc_seaech_cmp2_level_h <= cmd_iowr_d[11:0];
  3730. //@apireg:group:title search
  3731. //@apireg:title PC_SEARCH_CONFIGURE_DATA1_SETL
  3732. //@apireg:software:name pc_search_configure_data1_setL
  3733. //@apireg:value:appoint bit-width:16 ; 低电平组对应脉冲宽度设置低16位
  3734. //@apireg:desc abs-addr:0XC948; 版本信息,备注,,,,
  3735. //@apireg:note reg_hw_name:pc_search_configure_data1_setl
  3736. //@apireg:0xaddr 0X8800 | (((0X152&0XFF) << 2) | ((0X152&0X100) << 6))
  3737. 9'H152 : pc_search_configure_data1_setl <= cmd_iowr_d[15:0];
  3738. //@apireg:group:title search
  3739. //@apireg:title PC_SEARCH_CONFIGURE_DATA1_SETM
  3740. //@apireg:software:name pc_search_configure_data1_setM
  3741. //@apireg:value:appoint bit-width:16 ; 低电平组对应脉冲宽度设置中16位
  3742. //@apireg:desc abs-addr:0XC94C; 版本信息,备注,,,,
  3743. //@apireg:note reg_hw_name:pc_search_configure_data1_setm
  3744. //@apireg:0xaddr 0X8800 | (((0X153&0XFF) << 2) | ((0X153&0X100) << 6))
  3745. 9'H153 : pc_search_configure_data1_setm <= cmd_iowr_d[15:0];
  3746. //@apireg:group:title search
  3747. //@apireg:title PC_SEARCH_CONFIGURE_DATA1_SETH
  3748. //@apireg:software:name pc_search_configure_data1_setH
  3749. //@apireg:value:appoint bit-width:16 ; 低电平组对应脉冲宽度设置高16位
  3750. //@apireg:desc abs-addr:0XC950; 版本信息,备注,,,,
  3751. //@apireg:note reg_hw_name:pc_search_configure_data1_seth
  3752. //@apireg:0xaddr 0X8800 | (((0X154&0XFF) << 2) | ((0X154&0X100) << 6))
  3753. 9'H154 : pc_search_configure_data1_seth <= cmd_iowr_d[15:0];
  3754. //@apireg:group:title search
  3755. //@apireg:title PC_SEARCH_CONFIGURE_DATA2_SETL
  3756. //@apireg:software:name pc_search_configure_data2_setL
  3757. //@apireg:value:appoint bit-width:16 ; 高电平组对应脉冲宽度设置低16位
  3758. //@apireg:desc abs-addr:0XC954; 版本信息,备注,,,,
  3759. //@apireg:note reg_hw_name:pc_search_configure_data2_setl
  3760. //@apireg:0xaddr 0X8800 | (((0X155&0XFF) << 2) | ((0X155&0X100) << 6))
  3761. 9'H155 : pc_search_configure_data2_setl <= cmd_iowr_d[15:0];
  3762. //@apireg:group:title search
  3763. //@apireg:title PC_SEARCH_CONFIGURE_DATA2_SETM
  3764. //@apireg:software:name pc_search_configure_data2_setM
  3765. //@apireg:value:appoint bit-width:16 ; 高电平组对应脉冲宽度设置中16位
  3766. //@apireg:desc abs-addr:0XC958; 版本信息,备注,,,,
  3767. //@apireg:note reg_hw_name:pc_search_configure_data2_setm
  3768. //@apireg:0xaddr 0X8800 | (((0X156&0XFF) << 2) | ((0X156&0X100) << 6))
  3769. 9'H156 : pc_search_configure_data2_setm <= cmd_iowr_d[15:0];
  3770. //@apireg:group:title search
  3771. //@apireg:title PC_SEARCH_CONFIGURE_DATA2_SETH
  3772. //@apireg:software:name pc_search_configure_data2_setH
  3773. //@apireg:value:appoint bit-width:16 ; 高电平组对应脉冲宽度设置高16位
  3774. //@apireg:desc abs-addr:0XC95C; 版本信息,备注,,,,
  3775. //@apireg:note reg_hw_name:pc_search_configure_data2_seth
  3776. //@apireg:0xaddr 0X8800 | (((0X157&0XFF) << 2) | ((0X157&0X100) << 6))
  3777. 9'H157 : pc_search_configure_data2_seth <= cmd_iowr_d[15:0];
  3778. //@apireg:group:title seg
  3779. //@apireg:title PRO_DDR_RCD_RST_EN
  3780. //@apireg:software:name pro_ddr_rcd_rst_en
  3781. //@apireg:value:appoint bit-width:1 ; 处理板接收分段存储复位选择
  3782. //@apireg:desc abs-addr:0XC974; none
  3783. //@apireg:note reg_hw_name:pro_ddr_rcd_rst_en
  3784. //@apireg:0xaddr 0X8800 | (((0X15D&0XFF) << 2) | ((0X15D&0X100) << 6))
  3785. 9'H15D : pro_ddr_rcd_rst_en <= cmd_iowr_d[0:0];
  3786. //@apireg:group:title trig_exclude
  3787. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH1_L
  3788. //@apireg:software:name pro_1st_exclude_width1_l
  3789. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发下限
  3790. //@apireg:desc abs-addr:0XC86C; none
  3791. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width1_l
  3792. //@apireg:0xaddr 0X8800 | (((0X11B&0XFF) << 2) | ((0X11B&0X100) << 6))
  3793. 9'H11B : trig_1st_pro_exclude_width1_l <= cmd_iowr_d[15:0];
  3794. //@apireg:group:title trig_exclude
  3795. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH1_H
  3796. //@apireg:software:name pro_1st_exclude_width1_h
  3797. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发下限
  3798. //@apireg:desc abs-addr:0XC870; none
  3799. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width1_h
  3800. //@apireg:0xaddr 0X8800 | (((0X11C&0XFF) << 2) | ((0X11C&0X100) << 6))
  3801. 9'H11C : trig_1st_pro_exclude_width1_h <= cmd_iowr_d[15:0];
  3802. //@apireg:group:title trig_exclude
  3803. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH2_L
  3804. //@apireg:software:name pro_1st_exclude_width2_l
  3805. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发上限
  3806. //@apireg:desc abs-addr:0XC874; none
  3807. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width2_l
  3808. //@apireg:0xaddr 0X8800 | (((0X11D&0XFF) << 2) | ((0X11D&0X100) << 6))
  3809. 9'H11D : trig_1st_pro_exclude_width2_l <= cmd_iowr_d[15:0];
  3810. //@apireg:group:title trig_exclude
  3811. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH2_H
  3812. //@apireg:software:name pro_1st_exclude_width2_h
  3813. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发上限
  3814. //@apireg:desc abs-addr:0XC878; none
  3815. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width2_h
  3816. //@apireg:0xaddr 0X8800 | (((0X11E&0XFF) << 2) | ((0X11E&0X100) << 6))
  3817. 9'H11E : trig_1st_pro_exclude_width2_h <= cmd_iowr_d[15:0];
  3818. //@apireg:group:title trig_exclude
  3819. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH1_L
  3820. //@apireg:software:name pro_2nd_exclude_width1_l
  3821. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发下限
  3822. //@apireg:desc abs-addr:0XC87C; none
  3823. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width1_l
  3824. //@apireg:0xaddr 0X8800 | (((0X11F&0XFF) << 2) | ((0X11F&0X100) << 6))
  3825. 9'H11F : trig_2nd_pro_exclude_width1_l <= cmd_iowr_d[15:0];
  3826. //@apireg:group:title trig_exclude
  3827. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH1_H
  3828. //@apireg:software:name pro_2nd__exclude_width1_h
  3829. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发下限
  3830. //@apireg:desc abs-addr:0XC880; none
  3831. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width1_h
  3832. //@apireg:0xaddr 0X8800 | (((0X120&0XFF) << 2) | ((0X120&0X100) << 6))
  3833. 9'H120 : trig_2nd_pro_exclude_width1_h <= cmd_iowr_d[15:0];
  3834. //@apireg:group:title trig_exclude
  3835. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH2_L
  3836. //@apireg:software:name pro_2nd__exclude_width2_l
  3837. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发上限
  3838. //@apireg:desc abs-addr:0XC884; none
  3839. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width2_l
  3840. //@apireg:0xaddr 0X8800 | (((0X121&0XFF) << 2) | ((0X121&0X100) << 6))
  3841. 9'H121 : trig_2nd_pro_exclude_width2_l <= cmd_iowr_d[15:0];
  3842. //@apireg:group:title trig_exclude
  3843. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH2_H
  3844. //@apireg:software:name pro_2nd__exclude_width2_h
  3845. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发上限
  3846. //@apireg:desc abs-addr:0XC888; none
  3847. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width2_h
  3848. //@apireg:0xaddr 0X8800 | (((0X122&0XFF) << 2) | ((0X122&0X100) << 6))
  3849. 9'H122 : trig_2nd_pro_exclude_width2_h <= cmd_iowr_d[15:0];
  3850. default:
  3851. begin
  3852. end
  3853. endcase
  3854. end
  3855. ////////////////////////////////////////////////////////////////////////////////
  3856. //读寄存器
  3857. ////////////////////////////////////////////////////////////////////////////////
  3858. always @ (posedge cmd_clk)
  3859. begin
  3860. if( dsp_iord_en_dly == 1'b1 )
  3861. begin
  3862. //@apireg:write_read_attribute:attribute read
  3863. case (cmd_addr[8:0])
  3864. //----------------------------------------------------------
  3865. //用户寄存器接口
  3866. //----------------------------------------------------------
  3867. //此处自动追加用户寄存器定义
  3868. //@INSERT_RD_REG_FLAG
  3869. //@apireg:group:title Awg
  3870. //@apireg:title DATA_AWG_RD
  3871. //@apireg:software:name data_awg_rd
  3872. //@apireg:value:appoint bit-width:16 ; 低八位是回读数据
  3873. //@apireg:desc abs-addr:0X890C; none
  3874. //@apireg:note reg_hw_name:data_awg_rd
  3875. //@apireg:0xaddr 0X8800 | (((0X43&0XFF) << 2) | ((0X43&0X100) << 6))
  3876. 9'H043 : cmd_iord_d <= data_awg_rd[15:0] ;
  3877. //@apireg:group:title Decoder
  3878. //@apireg:title RAM1_DATA_FLAG
  3879. //@apireg:software:name RAM1DataFlag
  3880. //@apireg:value:appoint bit-width:1 ; ram1中有新数据写入时拉高,否则拉低
  3881. //@apireg:desc abs-addr:0X88F8; RAM1中有新数据写入时拉高,否则拉低,,,,
  3882. //@apireg:note reg_hw_name:ram1_data_flag
  3883. //@apireg:0xaddr 0X8800 | (((0X3E&0XFF) << 2) | ((0X3E&0X100) << 6))
  3884. 9'H03E : cmd_iord_d <= {{15{1'B0}},ram1_data_flag[0:0]};
  3885. //@apireg:group:title Decoder
  3886. //@apireg:title RAM2_DATA_FLAG
  3887. //@apireg:software:name RAM2DataFlag
  3888. //@apireg:value:appoint bit-width:1 ; ram2中有新数据写入时拉高,否则拉低
  3889. //@apireg:desc abs-addr:0X88FC; RAM2中有新数据写入时拉高,否则拉低,,,,
  3890. //@apireg:note reg_hw_name:ram2_data_flag
  3891. //@apireg:0xaddr 0X8800 | (((0X3F&0XFF) << 2) | ((0X3F&0X100) << 6))
  3892. 9'H03F : cmd_iord_d <= {{15{1'B0}},ram2_data_flag[0:0]};
  3893. //@apireg:group:title Dpo
  3894. //@apireg:title DPO_CNT_SCREEN
  3895. //@apireg:software:name FrameCount
  3896. //@apireg:value:appoint bit-width:8 ; 8bit dpx映射幅数
  3897. //@apireg:desc abs-addr:0X8804; none
  3898. //@apireg:note reg_hw_name:dpo_cnt_screen
  3899. //@apireg:0xaddr 0X8800 | (((0X01&0XFF) << 2) | ((0X01&0X100) << 6))
  3900. 9'H001 : cmd_iord_d <= {{8{1'B0}},dpo_cnt_screen[7:0]};
  3901. //@apireg:group:title Dpo
  3902. //@apireg:title DPO_MAP_DMAX
  3903. //@apireg:software:name Map_dmax
  3904. //@apireg:value:appoint bit-width:8 ; 8bit dpx内最大灰度值
  3905. //@apireg:desc abs-addr:0X8808; none
  3906. //@apireg:note reg_hw_name:dpo_map_dmax
  3907. //@apireg:0xaddr 0X8800 | (((0X02&0XFF) << 2) | ((0X02&0X100) << 6))
  3908. 9'H002 : cmd_iord_d <= {{8{1'B0}},dpo_map_dmax[7:0]};
  3909. //@apireg:group:title Dpo
  3910. //@apireg:title DPO_STATE
  3911. //@apireg:software:name Status
  3912. //@apireg:value:appoint bit-width:7 ; 7bit dpx状态机状态
  3913. //@apireg:desc abs-addr:0X880C; 暂时无用,,,,
  3914. //@apireg:note reg_hw_name:dpo_state
  3915. //@apireg:0xaddr 0X8800 | (((0X03&0XFF) << 2) | ((0X03&0X100) << 6))
  3916. 9'H003 : cmd_iord_d <= {{9{1'B0}},dpo_state[6:0]};
  3917. //@apireg:group:title FPGAFlashUpdater
  3918. //@apireg:title PRO_CONFIG_FLASH_READDATA
  3919. //@apireg:software:name ReadData
  3920. //@apireg:value:appoint bit-width:8 ; flash返回的数据,每次8bit
  3921. //@apireg:desc abs-addr:0X8824; none
  3922. //@apireg:note reg_hw_name:pro_config_flash_readdata
  3923. //@apireg:0xaddr 0X8800 | (((0X09&0XFF) << 2) | ((0X09&0X100) << 6))
  3924. 9'H009 : cmd_iord_d <= {{8{1'B0}},pro_config_flash_readdata[7:0]};
  3925. //@apireg:group:title FPGA_PLL_STATE
  3926. //@apireg:title PRO_DCLK_LOCKED
  3927. //@apireg:software:name AllAcqDclkLocked
  3928. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  3929. //@apireg:desc abs-addr:0X881C; 读取FPGA内部pll(主时钟)锁定, ; 1表示已锁定,,,,
  3930. //@apireg:note reg_hw_name:pro_dclk_locked
  3931. //@apireg:0xaddr 0X8800 | (((0X07&0XFF) << 2) | ((0X07&0X100) << 6))
  3932. 9'H007 : cmd_iord_d <= {{15{1'B0}},pro_dclk_locked[0:0]};
  3933. //@apireg:group:title FPGA_PLL_STATE
  3934. //@apireg:title CRYSTAL_CLK_LOCKED
  3935. //@apireg:software:name CrystalClkCocked
  3936. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  3937. //@apireg:desc abs-addr:0X8820; 读取FPGA内部pll(CRYSTAL_CLK) ; 锁定,1表示已锁定,,,,
  3938. //@apireg:note reg_hw_name:crystal_clk_locked
  3939. //@apireg:0xaddr 0X8800 | (((0X08&0XFF) << 2) | ((0X08&0X100) << 6))
  3940. 9'H008 : cmd_iord_d <= {{15{1'B0}},crystal_clk_locked[0:0]};
  3941. //@apireg:group:title FifoCtrl
  3942. //@apireg:title PROG_FIFO_FULL_ALL
  3943. //@apireg:software:name FullFlag
  3944. //@apireg:value:appoint bit-width:16 ; software fifo 满标志[0]表示全部满,[x:1]表示各个通道
  3945. //@apireg:desc abs-addr:0X8810; 处理板软件FIFO变成满标志,复位拉低,,,,
  3946. //@apireg:note reg_hw_name:prog_fifo_full_all
  3947. //@apireg:0xaddr 0X8800 | (((0X04&0XFF) << 2) | ((0X04&0X100) << 6))
  3948. 9'H004 : cmd_iord_d <= prog_fifo_full_all[15:0] ;
  3949. //@apireg:group:title FifoCtrl
  3950. //@apireg:title SOFT_FIFO_DATA_COUNT_HIGH
  3951. //@apireg:software:name ReadDataCount_H
  3952. //@apireg:value:appoint bit-width:16 ; 28bits,num_of_pts
  3953. //@apireg:desc abs-addr:0X8814; 回读软件FIFO中存入的数据量,,,,
  3954. //@apireg:note reg_hw_name:soft_fifo_data_count_h12
  3955. //@apireg:0xaddr 0X8800 | (((0X05&0XFF) << 2) | ((0X05&0X100) << 6))
  3956. 9'H005 : cmd_iord_d <= soft_fifo_data_count_h12[15:0];
  3957. //@apireg:group:title FifoCtrl
  3958. //@apireg:title SOFT_FIFO_DATA_COUNT_LOW
  3959. //@apireg:software:name ReadDataCount_L
  3960. //@apireg:value:appoint bit-width:16 ; 28bits,num_of_pts
  3961. //@apireg:desc abs-addr:0X8818; 回读软件FIFO中存入的数据量,,,,
  3962. //@apireg:note reg_hw_name:soft_fifo_data_count_l16
  3963. //@apireg:0xaddr 0X8800 | (((0X06&0XFF) << 2) | ((0X06&0X100) << 6))
  3964. 9'H006 : cmd_iord_d <= soft_fifo_data_count_l16[15:0];
  3965. //@apireg:group:title FifoCtrl
  3966. //@apireg:title PRO_ASYNC_FIFO_FULL_FLAG
  3967. //@apireg:software:name async_fifo_FullFlag
  3968. //@apireg:value:appoint bit-width:16 ; 每一个采集卡接受的async fifofull,为1表示出现过满
  3969. //@apireg:desc abs-addr:0X8904; 处理板软件FIFO满标志,只要出现过满,就拉高,为高则表明异常,,,,
  3970. //@apireg:note reg_hw_name:pro_async_fifo_full_flag
  3971. //@apireg:0xaddr 0X8800 | (((0X41&0XFF) << 2) | ((0X41&0X100) << 6))
  3972. 9'H041 : cmd_iord_d <= pro_async_fifo_full_flag[15:0];
  3973. //@apireg:group:title FifoCtrl
  3974. //@apireg:title PRO_REGUL_FIFO_FULL_FLAG
  3975. //@apireg:software:name regul_fifo_FullFlag
  3976. //@apireg:value:appoint bit-width:16 ; 1表示regular_fifo满,[0]表示全部满,[x:1]-各个通道
  3977. //@apireg:desc abs-addr:0X8908; 处理板并行regular FIFO满标志,,,,,
  3978. //@apireg:note reg_hw_name:pro_regul_fifo_full_flag
  3979. //@apireg:0xaddr 0X8800 | (((0X42&0XFF) << 2) | ((0X42&0X100) << 6))
  3980. 9'H042 : cmd_iord_d <= pro_regul_fifo_full_flag[15:0];
  3981. //@apireg:group:title LA
  3982. //@apireg:title LA_TRIGLOCAL_LOCK
  3983. //@apireg:software:name TrigLocalLock
  3984. //@apireg:value:appoint bit-width:16 ; 6bit
  3985. //@apireg:desc abs-addr:0X8828; 触发丢点数,,,,
  3986. //@apireg:note reg_hw_name:la_triglocal_lock
  3987. //@apireg:0xaddr 0X8800 | (((0X0A&0XFF) << 2) | ((0X0A&0X100) << 6))
  3988. 9'H00A : cmd_iord_d <= la_triglocal_lock[15:0] ;
  3989. //@apireg:group:title LA
  3990. //@apireg:title INIT_CALIB_COMPLETE_LA
  3991. //@apireg:software:name InitCalibCpmplete
  3992. //@apireg:value:appoint bit-width:1 ; ddr3硬件初始化完成标志,高有效
  3993. //@apireg:desc abs-addr:0X88DC; none
  3994. //@apireg:note reg_hw_name:init_calib_complete_la
  3995. //@apireg:0xaddr 0X8800 | (((0X37&0XFF) << 2) | ((0X37&0X100) << 6))
  3996. 9'H037 : cmd_iord_d <= {{15{1'B0}},init_calib_complete_la[0:0]};
  3997. //@apireg:group:title LA
  3998. //@apireg:title DDR3_STATE_2PC_LA
  3999. //@apireg:software:name DdrState
  4000. //@apireg:value:appoint bit-width:1 ; 读写控制状态机的状态
  4001. //@apireg:desc abs-addr:0X88E0; none
  4002. //@apireg:note reg_hw_name:ddr3_state_2pc_la
  4003. //@apireg:0xaddr 0X8800 | (((0X38&0XFF) << 2) | ((0X38&0X100) << 6))
  4004. 9'H038 : cmd_iord_d <= {{15{1'B0}},ddr3_state_2pc_la[0:0]};
  4005. //@apireg:group:title LA
  4006. //@apireg:title WR_STOP_FLAG_2PC_LA
  4007. //@apireg:software:name DdrWriteFinished
  4008. //@apireg:value:appoint bit-width:1 ; 写过程停止标志
  4009. //@apireg:desc abs-addr:0X88E4; none
  4010. //@apireg:note reg_hw_name:wr_stop_flag_2pc_la
  4011. //@apireg:0xaddr 0X8800 | (((0X39&0XFF) << 2) | ((0X39&0X100) << 6))
  4012. 9'H039 : cmd_iord_d <= {{15{1'B0}},wr_stop_flag_2pc_la[0:0]};
  4013. //@apireg:group:title LA
  4014. //@apireg:title RD_STOP_FLAG_2PC_LA
  4015. //@apireg:software:name DdrReadFinished
  4016. //@apireg:value:appoint bit-width:1 ; 读过程停止标志
  4017. //@apireg:desc abs-addr:0X88E8; none
  4018. //@apireg:note reg_hw_name:rd_stop_flag_2pc_la
  4019. //@apireg:0xaddr 0X8800 | (((0X3A&0XFF) << 2) | ((0X3A&0X100) << 6))
  4020. 9'H03A : cmd_iord_d <= {{15{1'B0}},rd_stop_flag_2pc_la[0:0]};
  4021. //@apireg:group:title LA
  4022. //@apireg:title DDR3_TRIG_ADDR_H_2PC_LA
  4023. //@apireg:software:name DdrTrigAddrH
  4024. //@apireg:value:appoint bit-width:16 ; 触发信号所在触发地址高13位
  4025. //@apireg:desc abs-addr:0X88EC; none
  4026. //@apireg:note reg_hw_name:ddr3_trig_addr_h_2pc_la
  4027. //@apireg:0xaddr 0X8800 | (((0X3B&0XFF) << 2) | ((0X3B&0X100) << 6))
  4028. 9'H03B : cmd_iord_d <= ddr3_trig_addr_h_2pc_la[15:0];
  4029. //@apireg:group:title LA
  4030. //@apireg:title DDR3_TRIG_ADDR_L_2PC_LA
  4031. //@apireg:software:name DdrTrigAddrL
  4032. //@apireg:value:appoint bit-width:16 ; 触发信号所在触发地址低16位
  4033. //@apireg:desc abs-addr:0X88F0; none
  4034. //@apireg:note reg_hw_name:ddr3_trig_addr_l_2pc_la
  4035. //@apireg:0xaddr 0X8800 | (((0X3C&0XFF) << 2) | ((0X3C&0X100) << 6))
  4036. 9'H03C : cmd_iord_d <= ddr3_trig_addr_l_2pc_la[15:0];
  4037. //@apireg:group:title LA
  4038. //@apireg:title DDR3_POS_TRIG_FLAG_2PC_LA
  4039. //@apireg:software:name DdrTrigFlag
  4040. //@apireg:value:appoint bit-width:1 ; 写地址模块产生触发地址时的标志
  4041. //@apireg:desc abs-addr:0X88F4; none
  4042. //@apireg:note reg_hw_name:ddr3_pos_trig_flag_2pc_la
  4043. //@apireg:0xaddr 0X8800 | (((0X3D&0XFF) << 2) | ((0X3D&0X100) << 6))
  4044. 9'H03D : cmd_iord_d <= {{15{1'B0}},ddr3_pos_trig_flag_2pc_la[0:0]};
  4045. //@apireg:group:title RegMonitor
  4046. //@apireg:title PRO_READ_WREG_DATA
  4047. //@apireg:software:name ReadbackValue
  4048. //@apireg:value:appoint bit-width:16 ; read back write-register
  4049. //@apireg:desc abs-addr:0X882C; 版本信息,备注,,,,
  4050. //@apireg:note reg_hw_name:pro_read_wreg_data
  4051. //@apireg:0xaddr 0X8800 | (((0X0B&0XFF) << 2) | ((0X0B&0X100) << 6))
  4052. 9'H00B : cmd_iord_d <= pro_read_wreg_data[15:0] ;
  4053. //@apireg:group:title Scan
  4054. //@apireg:title SCAN_DATACOUNT_UPLOADING
  4055. //@apireg:software:name DatacountUploading
  4056. //@apireg:value:appoint bit-width:14 ; 14bit:soft_fifo的计数值
  4057. //@apireg:desc abs-addr:0X8830; none
  4058. //@apireg:note reg_hw_name:scan_datacount_uploading
  4059. //@apireg:0xaddr 0X8800 | (((0X0C&0XFF) << 2) | ((0X0C&0X100) << 6))
  4060. 9'H00C : cmd_iord_d <= {{2{1'B0}},scan_datacount_uploading[13:0]};
  4061. //@apireg:group:title SerdesSync
  4062. //@apireg:title PRO_ISERDES_PLL_LOCKED
  4063. //@apireg:software:name pro_iserdes_pll_locked
  4064. //@apireg:value:appoint bit-width:4 ; 板间通信随路时钟至接收端pll锁定信号
  4065. //@apireg:desc abs-addr:0X8834; none
  4066. //@apireg:note reg_hw_name:pro_iserdes_pll_locked
  4067. //@apireg:0xaddr 0X8800 | (((0X0D&0XFF) << 2) | ((0X0D&0X100) << 6))
  4068. 9'H00D : cmd_iord_d <= {{12{1'B0}},pro_iserdes_pll_locked[3:0]};
  4069. //@apireg:group:title SerdesSync
  4070. //@apireg:title PRO_ISERDES_SYNC_DONE
  4071. //@apireg:software:name pro_iserdes_sync_done
  4072. //@apireg:value:appoint bit-width:15 ; 板间通信扫窗完成标志信号
  4073. //@apireg:desc abs-addr:0X8838; none
  4074. //@apireg:note reg_hw_name:pro_iserdes_sync_done
  4075. //@apireg:0xaddr 0X8800 | (((0X0E&0XFF) << 2) | ((0X0E&0X100) << 6))
  4076. 9'H00E : cmd_iord_d <= {{1{1'B0}},pro_iserdes_sync_done[14:0]};
  4077. //@apireg:group:title SysInfo
  4078. //@apireg:title PRO_REG_READ_BACK
  4079. //@apireg:software:name WorkOKTest
  4080. //@apireg:value:appoint bit-width:16 ; 16bits_data
  4081. //@apireg:desc abs-addr:0XCBF8; SPI写数据(共24bit,分高低位) 低8位,,,,
  4082. //@apireg:note reg_hw_name:pro_reg_read_back
  4083. //@apireg:0xaddr 0X8800 | (((0X1FE&0XFF) << 2) | ((0X1FE&0X100) << 6))
  4084. 9'H1FE : cmd_iord_d <= pro_reg_read_back[15:0] ;
  4085. //@apireg:group:title SysMon
  4086. //@apireg:title PRO_FPGA_TEMP
  4087. //@apireg:software:name pro_fpga_temp
  4088. //@apireg:value:appoint bit-width:13 ; 处理板fpga的温度,共13bit,其中bit12为数据有效标志,1有效;bit[11:0]为温度值,无符号数,公式 temprature(℃) = (adc_code * 503.975) / 4096 -273.15 其中,adc_code对应temprature寄存器中的值
  4089. //@apireg:desc abs-addr:0X883C; none
  4090. //@apireg:note reg_hw_name:pro_fpga_temp
  4091. //@apireg:0xaddr 0X8800 | (((0X0F&0XFF) << 2) | ((0X0F&0X100) << 6))
  4092. 9'H00F : cmd_iord_d <= {{3{1'B0}},pro_fpga_temp[12:0]};
  4093. //@apireg:group:title SysMon
  4094. //@apireg:title PRO_FPGA_VCCAUX
  4095. //@apireg:software:name pro_fpga_vccaux
  4096. //@apireg:value:appoint bit-width:13 ; 处理板fpga的辅助电压,共13bit,其中bit12为数据有效标志,1有效;bit[11:0]为电压值,无符号数,公式 vccaux(v) = adc_code / 4096 * 3 其中,adc_code对应vccaux寄存器中的值
  4097. //@apireg:desc abs-addr:0X8840; none
  4098. //@apireg:note reg_hw_name:pro_fpga_vccaux
  4099. //@apireg:0xaddr 0X8800 | (((0X10&0XFF) << 2) | ((0X10&0X100) << 6))
  4100. 9'H010 : cmd_iord_d <= {{3{1'B0}},pro_fpga_vccaux[12:0]};
  4101. //@apireg:group:title SysMon
  4102. //@apireg:title PRO_FPGA_VCCBRAM
  4103. //@apireg:software:name pro_fpga_vccbram
  4104. //@apireg:value:appoint bit-width:13 ; 处理板fpga的bram电压,共13bit,其中bit12为数据有效标志,1有效;bit[11:0]为电压值,无符号数,公式 vccbram(v) = adc_code / 4096 * 3 其中,adc_code对应vccbram寄存器中的值
  4105. //@apireg:desc abs-addr:0X8844; 处理板系统检测模块复位,,,,
  4106. //@apireg:note reg_hw_name:pro_fpga_vccbram
  4107. //@apireg:0xaddr 0X8800 | (((0X11&0XFF) << 2) | ((0X11&0X100) << 6))
  4108. 9'H011 : cmd_iord_d <= {{3{1'B0}},pro_fpga_vccbram[12:0]};
  4109. //@apireg:group:title SysMon
  4110. //@apireg:title PRO_FPGA_VCCINT
  4111. //@apireg:software:name pro_fpga_vccint
  4112. //@apireg:value:appoint bit-width:13 ; 处理板fpga的内核电压,共13bit,其中bit12为数据有效标志,1有效;bit[11:0]为电压值,无符号数,公式 vccint(v) = adc_code / 4096 * 3 其中,adc_code对应vccint寄存器中的值
  4113. //@apireg:desc abs-addr:0X8848; none
  4114. //@apireg:note reg_hw_name:pro_fpga_vccint
  4115. //@apireg:0xaddr 0X8800 | (((0X12&0XFF) << 2) | ((0X12&0X100) << 6))
  4116. 9'H012 : cmd_iord_d <= {{3{1'B0}},pro_fpga_vccint[12:0]};
  4117. //@apireg:group:title 1st
  4118. //@apireg:title TRIG_MODULE_TRIGGERTIMEOVER
  4119. //@apireg:software:name IsTimeOver
  4120. //@apireg:value:appoint bit-width:1 ; 1bit, 1:触发超时
  4121. //@apireg:desc abs-addr:0X884C; 触发超时,,,,
  4122. //@apireg:note reg_hw_name:trig_module_triggertimeover
  4123. //@apireg:0xaddr 0X8800 | (((0X13&0XFF) << 2) | ((0X13&0X100) << 6))
  4124. 9'H013 : cmd_iord_d <= {{15{1'B0}},trig_module_triggertimeover[0:0]};
  4125. //@apireg:group:title 1st
  4126. //@apireg:title TRIG_MODULE_TRIGGERSTATUS
  4127. //@apireg:software:name Status
  4128. //@apireg:value:appoint bit-width:3 ; 3bits,bit0:ready_status,bit1:auto_status,bit2:trigged_status
  4129. //@apireg:desc abs-addr:0X8850; 发往软件用于显示触发状态,,,,
  4130. //@apireg:note reg_hw_name:trig_module_triggerstatus
  4131. //@apireg:0xaddr 0X8800 | (((0X14&0XFF) << 2) | ((0X14&0X100) << 6))
  4132. 9'H014 : cmd_iord_d <= {{13{1'B0}},trig_module_triggerstatus[2:0]};
  4133. //@apireg:group:title 1st
  4134. //@apireg:title TRIG_PERIOD_READ_H
  4135. //@apireg:software:name trig_period_readH
  4136. //@apireg:value:appoint bit-width:16 ; 触发信号周期回读
  4137. //@apireg:desc abs-addr:0X8998; none
  4138. //@apireg:note reg_hw_name:trig_period_read_h
  4139. //@apireg:0xaddr 0X8800 | (((0X66&0XFF) << 2) | ((0X66&0X100) << 6))
  4140. 9'H066 : cmd_iord_d <= trig_period_read_h[15:0] ;
  4141. //@apireg:group:title 1st
  4142. //@apireg:title TRIG_PERIOD_READ_L
  4143. //@apireg:software:name trig_period_readL
  4144. //@apireg:value:appoint bit-width:16 ; 触发信号周期回读
  4145. //@apireg:desc abs-addr:0X899C; none
  4146. //@apireg:note reg_hw_name:trig_period_read_l
  4147. //@apireg:0xaddr 0X8800 | (((0X67&0XFF) << 2) | ((0X67&0X100) << 6))
  4148. 9'H067 : cmd_iord_d <= trig_period_read_l[15:0] ;
  4149. //@apireg:group:title 1st
  4150. //@apireg:title TRIG_1ST_DATA_PARA_REG_ACQ1
  4151. //@apireg:software:name trig_1st_data_para_reg_acq1
  4152. //@apireg:value:appoint bit-width:8 ; 回读触发值,8位
  4153. //@apireg:desc abs-addr:0X89A0; none
  4154. //@apireg:note reg_hw_name:trig_1st_data_para_reg_acq1
  4155. //@apireg:0xaddr 0X8800 | (((0X68&0XFF) << 2) | ((0X68&0X100) << 6))
  4156. 9'H068 : cmd_iord_d <= {{8{1'B0}},trig_1st_data_para_reg_acq1[7:0]};
  4157. //@apireg:group:title 1st
  4158. //@apireg:title TRIG_1ST_DATA_PARA_REG_ACQ2
  4159. //@apireg:software:name trig_1st_data_para_reg_acq2
  4160. //@apireg:value:appoint bit-width:8 ; 回读触发值,8位
  4161. //@apireg:desc abs-addr:0X89A4; none
  4162. //@apireg:note reg_hw_name:trig_1st_data_para_reg_acq2
  4163. //@apireg:0xaddr 0X8800 | (((0X69&0XFF) << 2) | ((0X69&0X100) << 6))
  4164. 9'H069 : cmd_iord_d <= {{8{1'B0}},trig_1st_data_para_reg_acq2[7:0]};
  4165. //@apireg:group:title 1st
  4166. //@apireg:title TRIG_1ST_DATA_PARA_REG_ACQ3
  4167. //@apireg:software:name trig_1st_data_para_reg_acq3
  4168. //@apireg:value:appoint bit-width:8 ; 回读触发值,8位
  4169. //@apireg:desc abs-addr:0X89A8; none
  4170. //@apireg:note reg_hw_name:trig_1st_data_para_reg_acq3
  4171. //@apireg:0xaddr 0X8800 | (((0X6A&0XFF) << 2) | ((0X6A&0X100) << 6))
  4172. 9'H06A : cmd_iord_d <= {{8{1'B0}},trig_1st_data_para_reg_acq3[7:0]};
  4173. //@apireg:group:title 1st
  4174. //@apireg:title TRIG_1ST_DATA_PARA_REG_ACQ4
  4175. //@apireg:software:name trig_1st_data_para_reg_acq4
  4176. //@apireg:value:appoint bit-width:8 ; 回读触发值,8位
  4177. //@apireg:desc abs-addr:0X89AC; none
  4178. //@apireg:note reg_hw_name:trig_1st_data_para_reg_acq4
  4179. //@apireg:0xaddr 0X8800 | (((0X6B&0XFF) << 2) | ((0X6B&0X100) << 6))
  4180. 9'H06B : cmd_iord_d <= {{8{1'B0}},trig_1st_data_para_reg_acq4[7:0]};
  4181. //@apireg:group:title 2nd
  4182. //@apireg:title TRIG_2ND_EDGE_TRIG_NUM
  4183. //@apireg:software:name ReadTrigPosition
  4184. //@apireg:value:appoint bit-width:7 ; 7bits,position_of_trig
  4185. //@apireg:desc abs-addr:0X8854; 2极触发触发位置回读,,,,
  4186. //@apireg:note reg_hw_name:trig_2nd_edge_trig_location
  4187. //@apireg:0xaddr 0X8800 | (((0X15&0XFF) << 2) | ((0X15&0X100) << 6))
  4188. 9'H015 : cmd_iord_d <= {{9{1'B0}},trig_2nd_edge_trig_location[6:0]};
  4189. //@apireg:group:title 2nd
  4190. //@apireg:title TRIG_2ND_SEARCH_CNT
  4191. //@apireg:software:name search_cnt
  4192. //@apireg:value:appoint bit-width:16 ; [15] 返回查找触发计数有效标志 ; [14:0] 返回查找触发计数有效值
  4193. //@apireg:desc abs-addr:0X88C4; none
  4194. //@apireg:note reg_hw_name:trig_2nd_search_cnt
  4195. //@apireg:0xaddr 0X8800 | (((0X31&0XFF) << 2) | ((0X31&0X100) << 6))
  4196. 9'H031 : cmd_iord_d <= trig_2nd_search_cnt[15:0];
  4197. //@apireg:group:title Location
  4198. //@apireg:title TRIG_PRO_LOCA_SYNC_RESULT
  4199. //@apireg:software:name LocationSyncResult
  4200. //@apireg:value:appoint bit-width:16 ; 16bits idelay模块使能结果
  4201. //@apireg:desc abs-addr:0X8858; 预留,,,,
  4202. //@apireg:note reg_hw_name:trig_pro_loca_sync_result
  4203. //@apireg:0xaddr 0X8800 | (((0X16&0XFF) << 2) | ((0X16&0X100) << 6))
  4204. 9'H016 : cmd_iord_d <= trig_pro_loca_sync_result[15:0];
  4205. //@apireg:group:title Location
  4206. //@apireg:title TRIG_PRO_LOCA_SYNC_RESULT_EXT
  4207. //@apireg:software:name LocationSyncResultExt
  4208. //@apireg:value:appoint bit-width:16 ; 16bits idelay模块使能结果 预留扩展位
  4209. //@apireg:desc abs-addr:0X885C; 回读Idelay模块设置值扩展,,,,
  4210. //@apireg:note reg_hw_name:trig_pro_loca_sync_result_ext
  4211. //@apireg:0xaddr 0X8800 | (((0X17&0XFF) << 2) | ((0X17&0X100) << 6))
  4212. 9'H017 : cmd_iord_d <= trig_pro_loca_sync_result_ext[15:0];
  4213. //@apireg:group:title TriggerSync
  4214. //@apireg:title TRIGGER_SYNC_SYNC_FLAG_TRIG
  4215. //@apireg:software:name SyncFlagTrig
  4216. //@apireg:value:appoint bit-width:8 ; 触发信号扫窗成功标志,bit0:acq1触发信号,bit1:acq2触发信号,bit2:acq3触发信号,bit3:acq4触发信号。
  4217. //@apireg:desc abs-addr:0X88C0; none
  4218. //@apireg:note reg_hw_name:trigger_sync_sync_flag_trig
  4219. //@apireg:0xaddr 0X8800 | (((0X30&0XFF) << 2) | ((0X30&0X100) << 6))
  4220. 9'H030 : cmd_iord_d <= {{8{1'B0}},trigger_sync_sync_flag_trig[7:0]};
  4221. //@apireg:group:title VersionInfo
  4222. //@apireg:title PRO_VERSION_COMMENT_WORD0
  4223. //@apireg:software:name CommentWord0
  4224. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4225. //@apireg:desc abs-addr:0X8860; 版本信息,备注,,,,
  4226. //@apireg:note reg_hw_name:pro_version_comment_word0
  4227. //@apireg:0xaddr 0X8800 | (((0X18&0XFF) << 2) | ((0X18&0X100) << 6))
  4228. 9'H018 : cmd_iord_d <= pro_version_comment_word0[15:0];
  4229. //@apireg:group:title VersionInfo
  4230. //@apireg:title PRO_VERSION_COMMENT_WORD1
  4231. //@apireg:software:name CommentWord1
  4232. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4233. //@apireg:desc abs-addr:0X8864; 版本信息,备注,,,,
  4234. //@apireg:note reg_hw_name:pro_version_comment_word1
  4235. //@apireg:0xaddr 0X8800 | (((0X19&0XFF) << 2) | ((0X19&0X100) << 6))
  4236. 9'H019 : cmd_iord_d <= pro_version_comment_word1[15:0];
  4237. //@apireg:group:title VersionInfo
  4238. //@apireg:title PRO_VERSION_COMMENT_WORD10
  4239. //@apireg:software:name CommentWord10
  4240. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4241. //@apireg:desc abs-addr:0X8868; 版本信息,备注,,,,
  4242. //@apireg:note reg_hw_name:pro_version_comment_word10
  4243. //@apireg:0xaddr 0X8800 | (((0X1A&0XFF) << 2) | ((0X1A&0X100) << 6))
  4244. 9'H01A : cmd_iord_d <= pro_version_comment_word10[15:0];
  4245. //@apireg:group:title VersionInfo
  4246. //@apireg:title PRO_VERSION_COMMENT_WORD11
  4247. //@apireg:software:name CommentWord11
  4248. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4249. //@apireg:desc abs-addr:0X886C; 版本信息,备注,,,,
  4250. //@apireg:note reg_hw_name:pro_version_comment_word11
  4251. //@apireg:0xaddr 0X8800 | (((0X1B&0XFF) << 2) | ((0X1B&0X100) << 6))
  4252. 9'H01B : cmd_iord_d <= pro_version_comment_word11[15:0];
  4253. //@apireg:group:title VersionInfo
  4254. //@apireg:title PRO_VERSION_COMMENT_WORD12
  4255. //@apireg:software:name CommentWord12
  4256. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4257. //@apireg:desc abs-addr:0X8870; 版本信息,备注,,,,
  4258. //@apireg:note reg_hw_name:pro_version_comment_word12
  4259. //@apireg:0xaddr 0X8800 | (((0X1C&0XFF) << 2) | ((0X1C&0X100) << 6))
  4260. 9'H01C : cmd_iord_d <= pro_version_comment_word12[15:0];
  4261. //@apireg:group:title VersionInfo
  4262. //@apireg:title PRO_VERSION_COMMENT_WORD13
  4263. //@apireg:software:name CommentWord13
  4264. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4265. //@apireg:desc abs-addr:0X8874; 版本信息,备注,,,,
  4266. //@apireg:note reg_hw_name:pro_version_comment_word13
  4267. //@apireg:0xaddr 0X8800 | (((0X1D&0XFF) << 2) | ((0X1D&0X100) << 6))
  4268. 9'H01D : cmd_iord_d <= pro_version_comment_word13[15:0];
  4269. //@apireg:group:title VersionInfo
  4270. //@apireg:title PRO_VERSION_COMMENT_WORD14
  4271. //@apireg:software:name CommentWord14
  4272. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4273. //@apireg:desc abs-addr:0X8878; 版本信息,备注,,,,
  4274. //@apireg:note reg_hw_name:pro_version_comment_word14
  4275. //@apireg:0xaddr 0X8800 | (((0X1E&0XFF) << 2) | ((0X1E&0X100) << 6))
  4276. 9'H01E : cmd_iord_d <= pro_version_comment_word14[15:0];
  4277. //@apireg:group:title VersionInfo
  4278. //@apireg:title PRO_VERSION_COMMENT_WORD15
  4279. //@apireg:software:name CommentWord15
  4280. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4281. //@apireg:desc abs-addr:0X887C; 版本信息,备注,,,,
  4282. //@apireg:note reg_hw_name:pro_version_comment_word15
  4283. //@apireg:0xaddr 0X8800 | (((0X1F&0XFF) << 2) | ((0X1F&0X100) << 6))
  4284. 9'H01F : cmd_iord_d <= pro_version_comment_word15[15:0];
  4285. //@apireg:group:title VersionInfo
  4286. //@apireg:title PRO_VERSION_COMMENT_WORD2
  4287. //@apireg:software:name CommentWord2
  4288. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4289. //@apireg:desc abs-addr:0X8880; 版本信息,备注,,,,
  4290. //@apireg:note reg_hw_name:pro_version_comment_word2
  4291. //@apireg:0xaddr 0X8800 | (((0X20&0XFF) << 2) | ((0X20&0X100) << 6))
  4292. 9'H020 : cmd_iord_d <= pro_version_comment_word2[15:0];
  4293. //@apireg:group:title VersionInfo
  4294. //@apireg:title PRO_VERSION_COMMENT_WORD3
  4295. //@apireg:software:name CommentWord3
  4296. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4297. //@apireg:desc abs-addr:0X8884; 版本信息,备注,,,,
  4298. //@apireg:note reg_hw_name:pro_version_comment_word3
  4299. //@apireg:0xaddr 0X8800 | (((0X21&0XFF) << 2) | ((0X21&0X100) << 6))
  4300. 9'H021 : cmd_iord_d <= pro_version_comment_word3[15:0];
  4301. //@apireg:group:title VersionInfo
  4302. //@apireg:title PRO_VERSION_COMMENT_WORD4
  4303. //@apireg:software:name CommentWord4
  4304. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4305. //@apireg:desc abs-addr:0X8888; 版本信息,备注,,,,
  4306. //@apireg:note reg_hw_name:pro_version_comment_word4
  4307. //@apireg:0xaddr 0X8800 | (((0X22&0XFF) << 2) | ((0X22&0X100) << 6))
  4308. 9'H022 : cmd_iord_d <= pro_version_comment_word4[15:0];
  4309. //@apireg:group:title VersionInfo
  4310. //@apireg:title PRO_VERSION_COMMENT_WORD5
  4311. //@apireg:software:name CommentWord5
  4312. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4313. //@apireg:desc abs-addr:0X888C; 版本信息,备注,,,,
  4314. //@apireg:note reg_hw_name:pro_version_comment_word5
  4315. //@apireg:0xaddr 0X8800 | (((0X23&0XFF) << 2) | ((0X23&0X100) << 6))
  4316. 9'H023 : cmd_iord_d <= pro_version_comment_word5[15:0];
  4317. //@apireg:group:title VersionInfo
  4318. //@apireg:title PRO_VERSION_COMMENT_WORD6
  4319. //@apireg:software:name CommentWord6
  4320. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4321. //@apireg:desc abs-addr:0X8890; 版本信息,备注,,,,
  4322. //@apireg:note reg_hw_name:pro_version_comment_word6
  4323. //@apireg:0xaddr 0X8800 | (((0X24&0XFF) << 2) | ((0X24&0X100) << 6))
  4324. 9'H024 : cmd_iord_d <= pro_version_comment_word6[15:0];
  4325. //@apireg:group:title VersionInfo
  4326. //@apireg:title PRO_VERSION_COMMENT_WORD7
  4327. //@apireg:software:name CommentWord7
  4328. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4329. //@apireg:desc abs-addr:0X8894; 版本信息,备注,,,,
  4330. //@apireg:note reg_hw_name:pro_version_comment_word7
  4331. //@apireg:0xaddr 0X8800 | (((0X25&0XFF) << 2) | ((0X25&0X100) << 6))
  4332. 9'H025 : cmd_iord_d <= pro_version_comment_word7[15:0];
  4333. //@apireg:group:title VersionInfo
  4334. //@apireg:title PRO_VERSION_COMMENT_WORD8
  4335. //@apireg:software:name CommentWord8
  4336. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4337. //@apireg:desc abs-addr:0X8898; 版本信息,备注,,,,
  4338. //@apireg:note reg_hw_name:pro_version_comment_word8
  4339. //@apireg:0xaddr 0X8800 | (((0X26&0XFF) << 2) | ((0X26&0X100) << 6))
  4340. 9'H026 : cmd_iord_d <= pro_version_comment_word8[15:0];
  4341. //@apireg:group:title VersionInfo
  4342. //@apireg:title PRO_VERSION_COMMENT_WORD9
  4343. //@apireg:software:name CommentWord9
  4344. //@apireg:value:appoint bit-width:16 ; 256bits_info
  4345. //@apireg:desc abs-addr:0X889C; 版本信息,设计者,,,,
  4346. //@apireg:note reg_hw_name:pro_version_comment_word9
  4347. //@apireg:0xaddr 0X8800 | (((0X27&0XFF) << 2) | ((0X27&0X100) << 6))
  4348. 9'H027 : cmd_iord_d <= pro_version_comment_word9[15:0];
  4349. //@apireg:group:title VersionInfo
  4350. //@apireg:title PRO_VERSION_TIME_WORD0
  4351. //@apireg:software:name CompileTimeWord0
  4352. //@apireg:value:appoint bit-width:16 ; low_16bits_of_32bits
  4353. //@apireg:desc abs-addr:0X88A0; 版本信息,编译时间,,,,
  4354. //@apireg:note reg_hw_name:pro_version_time_word0
  4355. //@apireg:0xaddr 0X8800 | (((0X28&0XFF) << 2) | ((0X28&0X100) << 6))
  4356. 9'H028 : cmd_iord_d <= pro_version_time_word0[15:0];
  4357. //@apireg:group:title VersionInfo
  4358. //@apireg:title PRO_VERSION_TIME_WORD1
  4359. //@apireg:software:name CompileTimeWord1
  4360. //@apireg:value:appoint bit-width:16 ; high_16bits_of_32bits
  4361. //@apireg:desc abs-addr:0X88A4; 版本信息,版本号,,,,
  4362. //@apireg:note reg_hw_name:pro_version_time_word1
  4363. //@apireg:0xaddr 0X8800 | (((0X29&0XFF) << 2) | ((0X29&0X100) << 6))
  4364. 9'H029 : cmd_iord_d <= pro_version_time_word1[15:0];
  4365. //@apireg:group:title VersionInfo
  4366. //@apireg:title PRO_VERSION_DESIGNER_WORD0
  4367. //@apireg:software:name DesignerWord0
  4368. //@apireg:value:appoint bit-width:16 ; 64bits_info
  4369. //@apireg:desc abs-addr:0X88A8; 版本信息,设计者,,,,
  4370. //@apireg:note reg_hw_name:pro_version_designer_word0
  4371. //@apireg:0xaddr 0X8800 | (((0X2A&0XFF) << 2) | ((0X2A&0X100) << 6))
  4372. 9'H02A : cmd_iord_d <= pro_version_designer_word0[15:0];
  4373. //@apireg:group:title VersionInfo
  4374. //@apireg:title PRO_VERSION_DESIGNER_WORD1
  4375. //@apireg:software:name DesignerWord1
  4376. //@apireg:value:appoint bit-width:16 ; 64bits_info
  4377. //@apireg:desc abs-addr:0X88AC; 版本信息,设计者,,,,
  4378. //@apireg:note reg_hw_name:pro_version_designer_word1
  4379. //@apireg:0xaddr 0X8800 | (((0X2B&0XFF) << 2) | ((0X2B&0X100) << 6))
  4380. 9'H02B : cmd_iord_d <= pro_version_designer_word1[15:0];
  4381. //@apireg:group:title VersionInfo
  4382. //@apireg:title PRO_VERSION_DESIGNER_WORD2
  4383. //@apireg:software:name DesignerWord2
  4384. //@apireg:value:appoint bit-width:16 ; 64bits_info
  4385. //@apireg:desc abs-addr:0X88B0; 版本信息,设计者,,,,
  4386. //@apireg:note reg_hw_name:pro_version_designer_word2
  4387. //@apireg:0xaddr 0X8800 | (((0X2C&0XFF) << 2) | ((0X2C&0X100) << 6))
  4388. 9'H02C : cmd_iord_d <= pro_version_designer_word2[15:0];
  4389. //@apireg:group:title VersionInfo
  4390. //@apireg:title PRO_VERSION_DESIGNER_WORD3
  4391. //@apireg:software:name DesignerWord3
  4392. //@apireg:value:appoint bit-width:16 ; 64bits_info
  4393. //@apireg:desc abs-addr:0X88B4; 版本信息,编译时间,,,,
  4394. //@apireg:note reg_hw_name:pro_version_designer_word3
  4395. //@apireg:0xaddr 0X8800 | (((0X2D&0XFF) << 2) | ((0X2D&0X100) << 6))
  4396. 9'H02D : cmd_iord_d <= pro_version_designer_word3[15:0];
  4397. //@apireg:group:title VersionInfo
  4398. //@apireg:title PRO_VERSION_VERSION_WORD0
  4399. //@apireg:software:name VersionWord0
  4400. //@apireg:value:appoint bit-width:16 ; low_16bits_of_32bits
  4401. //@apireg:desc abs-addr:0X88B8; 版本信息,版本号,,,,
  4402. //@apireg:note reg_hw_name:pro_version_version_word0
  4403. //@apireg:0xaddr 0X8800 | (((0X2E&0XFF) << 2) | ((0X2E&0X100) << 6))
  4404. 9'H02E : cmd_iord_d <= pro_version_version_word0[15:0];
  4405. //@apireg:group:title VersionInfo
  4406. //@apireg:title PRO_VERSION_VERSION_WORD1
  4407. //@apireg:software:name VersionWord1
  4408. //@apireg:value:appoint bit-width:16 ; high_16bits_of_32bits
  4409. //@apireg:desc abs-addr:0X88BC; 16位数据,由此寄存器写入,再由此寄存器读出,两者数据一致,表明上电OK。,,,,
  4410. //@apireg:note reg_hw_name:pro_version_version_word1
  4411. //@apireg:0xaddr 0X8800 | (((0X2F&0XFF) << 2) | ((0X2F&0X100) << 6))
  4412. 9'H02F : cmd_iord_d <= pro_version_version_word1[15:0];
  4413. //@apireg:group:title dbi
  4414. //@apireg:title DBI_FREQUENCY_INDEX
  4415. //@apireg:software:name dbi_frequency_index
  4416. //@apireg:value:appoint bit-width:10 ; 指示最高幅度对应的频率值,[6]代表有效使能位
  4417. //@apireg:desc abs-addr:0X88C8; none
  4418. //@apireg:note reg_hw_name:dbi_frequency_index
  4419. //@apireg:0xaddr 0X8800 | (((0X32&0XFF) << 2) | ((0X32&0X100) << 6))
  4420. 9'H032 : cmd_iord_d <= {{6{1'B0}},dbi_frequency_index[9:0]};
  4421. //@apireg:group:title dbi
  4422. //@apireg:title DBI_MAX_AMPLITUDE_L16
  4423. //@apireg:software:name dbi_max_amplitude_l16
  4424. //@apireg:value:appoint bit-width:16 ; dbi频率计测量幅度值低16位
  4425. //@apireg:desc abs-addr:0X88D4; none
  4426. //@apireg:note reg_hw_name:dbi_max_amplitude_l16
  4427. //@apireg:0xaddr 0X8800 | (((0X35&0XFF) << 2) | ((0X35&0X100) << 6))
  4428. 9'H035 : cmd_iord_d <= dbi_max_amplitude_l16[15:0];
  4429. //@apireg:group:title dbi
  4430. //@apireg:title DBI_MAX_AMPLITUDE_H8
  4431. //@apireg:software:name dbi_max_amplitude_h8
  4432. //@apireg:value:appoint bit-width:8 ; dbi频率计测量幅度值高8位
  4433. //@apireg:desc abs-addr:0X88D8; none
  4434. //@apireg:note reg_hw_name:dbi_max_amplitude_h8
  4435. //@apireg:0xaddr 0X8800 | (((0X36&0XFF) << 2) | ((0X36&0X100) << 6))
  4436. 9'H036 : cmd_iord_d <= {{8{1'B0}},dbi_max_amplitude_h8[7:0]};
  4437. //@apireg:group:title ext_10m
  4438. //@apireg:title STATUS_OF_CLOCK
  4439. //@apireg:software:name status_of_clock
  4440. //@apireg:value:appoint bit-width:16 ; [0]:外部10m锁定状态 1锁定,0未锁定
  4441. //@apireg:desc abs-addr:0X8900; none
  4442. //@apireg:note reg_hw_name:status_of_clock
  4443. //@apireg:0xaddr 0X8800 | (((0X40&0XFF) << 2) | ((0X40&0X100) << 6))
  4444. 9'H040 : cmd_iord_d <= status_of_clock[15:0] ;
  4445. //@apireg:group:title reverse
  4446. //@apireg:title PRO_REVERSE_RD_REG_0
  4447. //@apireg:software:name pro_reverse_rd_reg_0
  4448. //@apireg:value:appoint bit-width:16 ; 处理板备用读寄存器
  4449. //@apireg:desc abs-addr:0X88CC; none
  4450. //@apireg:note reg_hw_name:pro_reverse_rd_reg_0
  4451. //@apireg:0xaddr 0X8800 | (((0X33&0XFF) << 2) | ((0X33&0X100) << 6))
  4452. 9'H033 : cmd_iord_d <= pro_reverse_rd_reg_0[15:0];
  4453. //@apireg:group:title reverse
  4454. //@apireg:title PRO_REVERSE_RD_REG_1
  4455. //@apireg:software:name pro_reverse_rd_reg_1
  4456. //@apireg:value:appoint bit-width:16 ; 处理板备用读寄存器
  4457. //@apireg:desc abs-addr:0X88D0; none
  4458. //@apireg:note reg_hw_name:pro_reverse_rd_reg_1
  4459. //@apireg:0xaddr 0X8800 | (((0X34&0XFF) << 2) | ((0X34&0X100) << 6))
  4460. 9'H034 : cmd_iord_d <= pro_reverse_rd_reg_1[15:0];
  4461. //@apireg:group:title scan_sync
  4462. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ1
  4463. //@apireg:software:name sync_trig_locat_flag_acq1
  4464. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4465. //@apireg:desc abs-addr:0X8910; none
  4466. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq1
  4467. //@apireg:0xaddr 0X8800 | (((0X44&0XFF) << 2) | ((0X44&0X100) << 6))
  4468. 9'H044 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq1[1:0]};
  4469. //@apireg:group:title scan_sync
  4470. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ2
  4471. //@apireg:software:name sync_trig_locat_flag_acq2
  4472. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4473. //@apireg:desc abs-addr:0X8914; none
  4474. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq2
  4475. //@apireg:0xaddr 0X8800 | (((0X45&0XFF) << 2) | ((0X45&0X100) << 6))
  4476. 9'H045 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq2[1:0]};
  4477. //@apireg:group:title scan_sync
  4478. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ3
  4479. //@apireg:software:name sync_trig_locat_flag_acq3
  4480. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4481. //@apireg:desc abs-addr:0X8918; none
  4482. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq3
  4483. //@apireg:0xaddr 0X8800 | (((0X46&0XFF) << 2) | ((0X46&0X100) << 6))
  4484. 9'H046 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq3[1:0]};
  4485. //@apireg:group:title scan_sync
  4486. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ4
  4487. //@apireg:software:name sync_trig_locat_flag_acq4
  4488. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4489. //@apireg:desc abs-addr:0X891C; none
  4490. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq4
  4491. //@apireg:0xaddr 0X8800 | (((0X47&0XFF) << 2) | ((0X47&0X100) << 6))
  4492. 9'H047 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq4[1:0]};
  4493. //@apireg:group:title scan_sync
  4494. //@apireg:title SYNC_FLASH_SCAN_STATUS_PRO
  4495. //@apireg:software:name sync_flag_pro
  4496. //@apireg:value:appoint bit-width:16 ; 采集板到处理板触发位置传输的flash scan flag::[4]:delay_ctrl_lock[3]-wr_en,[2]-rd_en,[1]-rst,[0]-trig_locate
  4497. //@apireg:desc abs-addr:0X8920; none
  4498. //@apireg:note reg_hw_name:sync_flash_scan_status_pro
  4499. //@apireg:0xaddr 0X8800 | (((0X48&0XFF) << 2) | ((0X48&0X100) << 6))
  4500. 9'H048 : cmd_iord_d <= sync_flash_scan_status_pro[15:0];
  4501. //@apireg:group:title scan_sync
  4502. //@apireg:title SYNC_TRIG_FLAG_ACQ1
  4503. //@apireg:software:name sync_trig_flag_acq1
  4504. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4505. //@apireg:desc abs-addr:0X8924; none
  4506. //@apireg:note reg_hw_name:sync_trig_flag_acq1
  4507. //@apireg:0xaddr 0X8800 | (((0X49&0XFF) << 2) | ((0X49&0X100) << 6))
  4508. 9'H049 : cmd_iord_d <= {{14{1'B0}},sync_trig_flag_acq1[1:0]};
  4509. //@apireg:group:title scan_sync
  4510. //@apireg:title SYNC_TRIG_FLAG_ACQ2
  4511. //@apireg:software:name sync_trig_flag_acq2
  4512. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4513. //@apireg:desc abs-addr:0X8928; none
  4514. //@apireg:note reg_hw_name:sync_trig_flag_acq2
  4515. //@apireg:0xaddr 0X8800 | (((0X4A&0XFF) << 2) | ((0X4A&0X100) << 6))
  4516. 9'H04A : cmd_iord_d <= {{14{1'B0}},sync_trig_flag_acq2[1:0]};
  4517. //@apireg:group:title scan_sync
  4518. //@apireg:title SYNC_TRIG_FLAG_ACQ3
  4519. //@apireg:software:name sync_trig_flag_acq3
  4520. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4521. //@apireg:desc abs-addr:0X892C; none
  4522. //@apireg:note reg_hw_name:sync_trig_flag_acq3
  4523. //@apireg:0xaddr 0X8800 | (((0X4B&0XFF) << 2) | ((0X4B&0X100) << 6))
  4524. 9'H04B : cmd_iord_d <= {{14{1'B0}},sync_trig_flag_acq3[1:0]};
  4525. //@apireg:group:title scan_sync
  4526. //@apireg:title SYNC_TRIG_FLAG_ACQ4
  4527. //@apireg:software:name sync_trig_flag_acq4
  4528. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4529. //@apireg:desc abs-addr:0X8930; none
  4530. //@apireg:note reg_hw_name:sync_trig_flag_acq4
  4531. //@apireg:0xaddr 0X8800 | (((0X4C&0XFF) << 2) | ((0X4C&0X100) << 6))
  4532. 9'H04C : cmd_iord_d <= {{14{1'B0}},sync_trig_flag_acq4[1:0]};
  4533. //@apireg:group:title scan_sync
  4534. //@apireg:title SYNC_TRIG_TAP_READ_ACQ1
  4535. //@apireg:software:name tap_read_trig_acq1
  4536. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4537. //@apireg:desc abs-addr:0X8934; none
  4538. //@apireg:note reg_hw_name:sync_trig_tap_read_acq1
  4539. //@apireg:0xaddr 0X8800 | (((0X4D&0XFF) << 2) | ((0X4D&0X100) << 6))
  4540. 9'H04D : cmd_iord_d <= sync_trig_tap_read_acq1[15:0];
  4541. //@apireg:group:title scan_sync
  4542. //@apireg:title SYNC_TRIG_TAP_READ_ACQ2
  4543. //@apireg:software:name tap_read_trig_acq2
  4544. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4545. //@apireg:desc abs-addr:0X8938; none
  4546. //@apireg:note reg_hw_name:sync_trig_tap_read_acq2
  4547. //@apireg:0xaddr 0X8800 | (((0X4E&0XFF) << 2) | ((0X4E&0X100) << 6))
  4548. 9'H04E : cmd_iord_d <= sync_trig_tap_read_acq2[15:0];
  4549. //@apireg:group:title scan_sync
  4550. //@apireg:title SYNC_TRIG_TAP_READ_ACQ3
  4551. //@apireg:software:name tap_read_trig_acq3
  4552. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4553. //@apireg:desc abs-addr:0X893C; none
  4554. //@apireg:note reg_hw_name:sync_trig_tap_read_acq3
  4555. //@apireg:0xaddr 0X8800 | (((0X4F&0XFF) << 2) | ((0X4F&0X100) << 6))
  4556. 9'H04F : cmd_iord_d <= sync_trig_tap_read_acq3[15:0];
  4557. //@apireg:group:title scan_sync
  4558. //@apireg:title SYNC_TRIG_TAP_READ_ACQ4
  4559. //@apireg:software:name tap_read_trig_acq4
  4560. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4561. //@apireg:desc abs-addr:0X8940; none
  4562. //@apireg:note reg_hw_name:sync_trig_tap_read_acq4
  4563. //@apireg:0xaddr 0X8800 | (((0X50&0XFF) << 2) | ((0X50&0X100) << 6))
  4564. 9'H050 : cmd_iord_d <= sync_trig_tap_read_acq4[15:0];
  4565. //@apireg:group:title scan_sync
  4566. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ1
  4567. //@apireg:software:name tap_read_trig_locat_acq1
  4568. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4569. //@apireg:desc abs-addr:0X8944; none
  4570. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq1
  4571. //@apireg:0xaddr 0X8800 | (((0X51&0XFF) << 2) | ((0X51&0X100) << 6))
  4572. 9'H051 : cmd_iord_d <= sync_trig_locat_tap_read_acq1[15:0];
  4573. //@apireg:group:title scan_sync
  4574. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ2
  4575. //@apireg:software:name tap_read_trig_locat_acq2
  4576. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4577. //@apireg:desc abs-addr:0X8948; none
  4578. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq2
  4579. //@apireg:0xaddr 0X8800 | (((0X52&0XFF) << 2) | ((0X52&0X100) << 6))
  4580. 9'H052 : cmd_iord_d <= sync_trig_locat_tap_read_acq2[15:0];
  4581. //@apireg:group:title scan_sync
  4582. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ3
  4583. //@apireg:software:name tap_read_trig_locat_acq3
  4584. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4585. //@apireg:desc abs-addr:0X894C; none
  4586. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq3
  4587. //@apireg:0xaddr 0X8800 | (((0X53&0XFF) << 2) | ((0X53&0X100) << 6))
  4588. 9'H053 : cmd_iord_d <= sync_trig_locat_tap_read_acq3[15:0];
  4589. //@apireg:group:title scan_sync
  4590. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ4
  4591. //@apireg:software:name tap_read_trig_locat_acq4
  4592. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4593. //@apireg:desc abs-addr:0X8950; none
  4594. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq4
  4595. //@apireg:0xaddr 0X8800 | (((0X54&0XFF) << 2) | ((0X54&0X100) << 6))
  4596. 9'H054 : cmd_iord_d <= sync_trig_locat_tap_read_acq4[15:0];
  4597. //@apireg:group:title scan_sync
  4598. //@apireg:title SYNC_TRIG_TAP_READ_ACQ5
  4599. //@apireg:software:name tap_read_trig_acq5
  4600. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4601. //@apireg:desc abs-addr:0X8968; none
  4602. //@apireg:note reg_hw_name:sync_trig_tap_read_acq5
  4603. //@apireg:0xaddr 0X8800 | (((0X5A&0XFF) << 2) | ((0X5A&0X100) << 6))
  4604. 9'H05A : cmd_iord_d <= sync_trig_tap_read_acq5[15:0];
  4605. //@apireg:group:title scan_sync
  4606. //@apireg:title SYNC_TRIG_TAP_READ_ACQ6
  4607. //@apireg:software:name tap_read_trig_acq6
  4608. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4609. //@apireg:desc abs-addr:0X896C; none
  4610. //@apireg:note reg_hw_name:sync_trig_tap_read_acq6
  4611. //@apireg:0xaddr 0X8800 | (((0X5B&0XFF) << 2) | ((0X5B&0X100) << 6))
  4612. 9'H05B : cmd_iord_d <= sync_trig_tap_read_acq6[15:0];
  4613. //@apireg:group:title scan_sync
  4614. //@apireg:title SYNC_TRIG_TAP_READ_ACQ7
  4615. //@apireg:software:name tap_read_trig_acq7
  4616. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4617. //@apireg:desc abs-addr:0X8970; none
  4618. //@apireg:note reg_hw_name:sync_trig_tap_read_acq7
  4619. //@apireg:0xaddr 0X8800 | (((0X5C&0XFF) << 2) | ((0X5C&0X100) << 6))
  4620. 9'H05C : cmd_iord_d <= sync_trig_tap_read_acq7[15:0];
  4621. //@apireg:group:title scan_sync
  4622. //@apireg:title SYNC_TRIG_TAP_READ_ACQ8
  4623. //@apireg:software:name tap_read_trig_acq8
  4624. //@apireg:value:appoint bit-width:16 ; 处理板trig信号回读tap值
  4625. //@apireg:desc abs-addr:0X8974; none
  4626. //@apireg:note reg_hw_name:sync_trig_tap_read_acq8
  4627. //@apireg:0xaddr 0X8800 | (((0X5D&0XFF) << 2) | ((0X5D&0X100) << 6))
  4628. 9'H05D : cmd_iord_d <= sync_trig_tap_read_acq8[15:0];
  4629. //@apireg:group:title scan_sync
  4630. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ5
  4631. //@apireg:software:name tap_read_trig_locat_acq5
  4632. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4633. //@apireg:desc abs-addr:0X8978; none
  4634. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq5
  4635. //@apireg:0xaddr 0X8800 | (((0X5E&0XFF) << 2) | ((0X5E&0X100) << 6))
  4636. 9'H05E : cmd_iord_d <= sync_trig_locat_tap_read_acq5[15:0];
  4637. //@apireg:group:title scan_sync
  4638. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ6
  4639. //@apireg:software:name tap_read_trig_locat_acq6
  4640. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4641. //@apireg:desc abs-addr:0X897C; none
  4642. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq6
  4643. //@apireg:0xaddr 0X8800 | (((0X5F&0XFF) << 2) | ((0X5F&0X100) << 6))
  4644. 9'H05F : cmd_iord_d <= sync_trig_locat_tap_read_acq6[15:0];
  4645. //@apireg:group:title scan_sync
  4646. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ7
  4647. //@apireg:software:name tap_read_trig_locat_acq7
  4648. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4649. //@apireg:desc abs-addr:0X8980; none
  4650. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq7
  4651. //@apireg:0xaddr 0X8800 | (((0X60&0XFF) << 2) | ((0X60&0X100) << 6))
  4652. 9'H060 : cmd_iord_d <= sync_trig_locat_tap_read_acq7[15:0];
  4653. //@apireg:group:title scan_sync
  4654. //@apireg:title SYNC_TRIG_LOCAT_TAP_READ_ACQ8
  4655. //@apireg:software:name tap_read_trig_locat_acq8
  4656. //@apireg:value:appoint bit-width:16 ; 处理板trig_location信号回读tap值
  4657. //@apireg:desc abs-addr:0X8984; none
  4658. //@apireg:note reg_hw_name:sync_trig_locat_tap_read_acq8
  4659. //@apireg:0xaddr 0X8800 | (((0X61&0XFF) << 2) | ((0X61&0X100) << 6))
  4660. 9'H061 : cmd_iord_d <= sync_trig_locat_tap_read_acq8[15:0];
  4661. //@apireg:group:title scan_sync
  4662. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ5
  4663. //@apireg:software:name sync_trig_locat_flag_acq5
  4664. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4665. //@apireg:desc abs-addr:0X8988; none
  4666. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq5
  4667. //@apireg:0xaddr 0X8800 | (((0X62&0XFF) << 2) | ((0X62&0X100) << 6))
  4668. 9'H062 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq5[1:0]};
  4669. //@apireg:group:title scan_sync
  4670. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ6
  4671. //@apireg:software:name sync_trig_locat_flag_acq6
  4672. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4673. //@apireg:desc abs-addr:0X898C; none
  4674. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq6
  4675. //@apireg:0xaddr 0X8800 | (((0X63&0XFF) << 2) | ((0X63&0X100) << 6))
  4676. 9'H063 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq6[1:0]};
  4677. //@apireg:group:title scan_sync
  4678. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ7
  4679. //@apireg:software:name sync_trig_locat_flag_acq7
  4680. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4681. //@apireg:desc abs-addr:0X8990; none
  4682. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq7
  4683. //@apireg:0xaddr 0X8800 | (((0X64&0XFF) << 2) | ((0X64&0X100) << 6))
  4684. 9'H064 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq7[1:0]};
  4685. //@apireg:group:title scan_sync
  4686. //@apireg:title SYNC_TRIG_LOCAT_FLAG_ACQ8
  4687. //@apireg:software:name sync_trig_locat_flag_acq8
  4688. //@apireg:value:appoint bit-width:2 ; 比较完成标志,1:成功 0:失败
  4689. //@apireg:desc abs-addr:0X8994; none
  4690. //@apireg:note reg_hw_name:sync_trig_locat_flag_acq8
  4691. //@apireg:0xaddr 0X8800 | (((0X65&0XFF) << 2) | ((0X65&0X100) << 6))
  4692. 9'H065 : cmd_iord_d <= {{14{1'B0}},sync_trig_locat_flag_acq8[1:0]};
  4693. //@apireg:group:title search
  4694. //@apireg:title SEARCH_FIFO_RD_FINISH
  4695. //@apireg:software:name search_fifo_rd_finish
  4696. //@apireg:value:appoint bit-width:1 ; 波形搜索存储fifo读完成
  4697. //@apireg:desc abs-addr:0X8954; none
  4698. //@apireg:note reg_hw_name:search_fifo_rd_finish
  4699. //@apireg:0xaddr 0X8800 | (((0X55&0XFF) << 2) | ((0X55&0X100) << 6))
  4700. 9'H055 : cmd_iord_d <= {{15{1'B0}},search_fifo_rd_finish[0:0]};
  4701. //@apireg:group:title search
  4702. //@apireg:title SEARCH_FINISH_FLAG
  4703. //@apireg:software:name search_finish_flag
  4704. //@apireg:value:appoint bit-width:1 ; 波形搜索单次搜索完成
  4705. //@apireg:desc abs-addr:0X8958; none
  4706. //@apireg:note reg_hw_name:search_finish_flag
  4707. //@apireg:0xaddr 0X8800 | (((0X56&0XFF) << 2) | ((0X56&0X100) << 6))
  4708. 9'H056 : cmd_iord_d <= {{15{1'B0}},search_finish_flag[0:0]};
  4709. //@apireg:group:title search
  4710. //@apireg:title SEARCH_FINISH_STATE
  4711. //@apireg:software:name search_finish_state
  4712. //@apireg:value:appoint bit-width:2 ; 波形搜索单次搜索结果状态
  4713. //@apireg:desc abs-addr:0X895C; none
  4714. //@apireg:note reg_hw_name:search_finish_state
  4715. //@apireg:0xaddr 0X8800 | (((0X57&0XFF) << 2) | ((0X57&0X100) << 6))
  4716. 9'H057 : cmd_iord_d <= {{14{1'B0}},search_finish_state[1:0]};
  4717. //@apireg:group:title search
  4718. //@apireg:title SEARCH_STAMP
  4719. //@apireg:software:name search_stamp
  4720. //@apireg:value:appoint bit-width:15 ; 波形搜索特征点位置信息
  4721. //@apireg:desc abs-addr:0X8960; none
  4722. //@apireg:note reg_hw_name:search_stamp
  4723. //@apireg:0xaddr 0X8800 | (((0X58&0XFF) << 2) | ((0X58&0X100) << 6))
  4724. 9'H058 : cmd_iord_d <= {{1{1'B0}},search_stamp[14:0]};
  4725. //@apireg:group:title search
  4726. //@apireg:title STAMP_NUM
  4727. //@apireg:software:name stamp_num
  4728. //@apireg:value:appoint bit-width:12 ; 波形搜索单次搜索特征点总数
  4729. //@apireg:desc abs-addr:0X8964; none
  4730. //@apireg:note reg_hw_name:stamp_num
  4731. //@apireg:0xaddr 0X8800 | (((0X59&0XFF) << 2) | ((0X59&0X100) << 6))
  4732. 9'H059 : cmd_iord_d <= {{4{1'B0}},stamp_num[11:0]};
  4733. default: ;
  4734. endcase
  4735. end
  4736. end
  4737. //@apireg:write_read_attribute:attribute:end
  4738. ////////////////////////////////////////////////////////////////////////////////
  4739. //读回写寄存器
  4740. ////////////////////////////////////////////////////////////////////////////////
  4741. always@(posedge cmd_clk)
  4742. begin
  4743. case ({pro_read_wreg_addr[14],pro_read_wreg_addr[9:2]})
  4744. //-------------------------------------------------------------------
  4745. //读回下发的写寄存器
  4746. //-------------------------------------------------------------------
  4747. //此处自动追加用户寄存器定义
  4748. //@INSERT_RD_WREG_FLAG
  4749. //@apireg:group:title Average
  4750. //@apireg:title AVERAGE_ENABLE
  4751. //@apireg:software:name Enable
  4752. //@apireg:value:appoint bit-width:1 ; 使能平均功能
  4753. //@apireg:desc abs-addr:0X8A88; none
  4754. //@apireg:note reg_hw_name:average_enable
  4755. //@apireg:0xaddr 0X8800 | (((0XA2&0XFF) << 2) | ((0XA2&0X100) << 6))
  4756. 9'H0A2 : pro_read_wreg_data <= {{15{1'B0}},average_enable[0:0]};
  4757. //@apireg:group:title Average
  4758. //@apireg:title AVERAGE_RAM_RESET
  4759. //@apireg:software:name RamReset
  4760. //@apireg:value:appoint bit-width:1 ; 平均模块内部ram缓冲区清除,相当于重新开始平均
  4761. //@apireg:desc abs-addr:0X8A8C; none
  4762. //@apireg:note reg_hw_name:average_ram_reset
  4763. //@apireg:0xaddr 0X8800 | (((0XA3&0XFF) << 2) | ((0XA3&0X100) << 6))
  4764. 9'H0A3 : pro_read_wreg_data <= {{15{1'B0}},average_ram_reset[0:0]};
  4765. //@apireg:group:title Average
  4766. //@apireg:title AVERAGE_NUMBER
  4767. //@apireg:software:name Number
  4768. //@apireg:value:appoint bit-width:8 ; 平均次数,多少帧波形数据进行平均
  4769. //@apireg:desc abs-addr:0X8A90; none
  4770. //@apireg:note reg_hw_name:average_number
  4771. //@apireg:0xaddr 0X8800 | (((0XA4&0XFF) << 2) | ((0XA4&0X100) << 6))
  4772. 9'H0A4 : pro_read_wreg_data <= {{8{1'B0}},average_number[7:0]};
  4773. //@apireg:group:title Average
  4774. //@apireg:title AVERAGE_ADDR_INIT
  4775. //@apireg:software:name AddrInit
  4776. //@apireg:value:appoint bit-width:16 ; 平均模块内部ram缓冲区起始地址,默认设置为0
  4777. //@apireg:desc abs-addr:0X8A94; none
  4778. //@apireg:note reg_hw_name:average_addr_init
  4779. //@apireg:0xaddr 0X8800 | (((0XA5&0XFF) << 2) | ((0XA5&0X100) << 6))
  4780. 9'H0A5 : pro_read_wreg_data <= average_addr_init[15:0] ;
  4781. //@apireg:group:title Average
  4782. //@apireg:title AVERAGE_ADDR_REGION
  4783. //@apireg:software:name AddrRegion
  4784. //@apireg:value:appoint bit-width:16 ; 平均模块内部ram缓冲区最大地址,默认设置为平均的样点数
  4785. //@apireg:desc abs-addr:0X8A98; none
  4786. //@apireg:note reg_hw_name:average_addr_region
  4787. //@apireg:0xaddr 0X8800 | (((0XA6&0XFF) << 2) | ((0XA6&0X100) << 6))
  4788. 9'H0A6 : pro_read_wreg_data <= average_addr_region[15:0];
  4789. //@apireg:group:title Average
  4790. //@apireg:title AVERAGE_ADDR_OVER_DLY_NUM
  4791. //@apireg:software:name average_addr_over_dly_num
  4792. //@apireg:value:appoint bit-width:16 ; ??平均次数??
  4793. //@apireg:desc abs-addr:0X8B38; none
  4794. //@apireg:note reg_hw_name:average_addr_over_dly_num
  4795. //@apireg:0xaddr 0X8800 | (((0XCE&0XFF) << 2) | ((0XCE&0X100) << 6))
  4796. 9'H0CE : pro_read_wreg_data <= average_addr_over_dly_num[15:0];
  4797. //@apireg:group:title Awg
  4798. //@apireg:title AWG_ADDR_CTRL
  4799. //@apireg:software:name awg_addr_ctrl
  4800. //@apireg:value:appoint bit-width:10 ; 低8bit是地址; ; 第9bit是cs,控制写入:置1时写入,置0时不发送; ; 第10bit是data_en:0是写寄存器,1是写数据
  4801. //@apireg:desc abs-addr:0X8BB4; none
  4802. //@apireg:note reg_hw_name:awg_addr_ctrl
  4803. //@apireg:0xaddr 0X8800 | (((0XED&0XFF) << 2) | ((0XED&0X100) << 6))
  4804. 9'H0ED : pro_read_wreg_data <= {{6{1'B0}},awg_addr_ctrl[9:0]};
  4805. //@apireg:group:title Awg
  4806. //@apireg:title AWG_DATA_IN
  4807. //@apireg:software:name awg_data_in
  4808. //@apireg:value:appoint bit-width:16 ; data_en为0时,只发送低8bit的数据 ; data_en为1时,16bit的数据全部发送
  4809. //@apireg:desc abs-addr:0X8BB8; 16bit的数据发送时,发送的是什么值?,,,,
  4810. //@apireg:note reg_hw_name:awg_data_in
  4811. //@apireg:0xaddr 0X8800 | (((0XEE&0XFF) << 2) | ((0XEE&0X100) << 6))
  4812. 9'H0EE : pro_read_wreg_data <= awg_data_in[15:0] ;
  4813. //@apireg:group:title Awg
  4814. //@apireg:title AWG_WR_CTRL
  4815. //@apireg:software:name awg_wr_ctrl
  4816. //@apireg:value:appoint bit-width:2 ; bit0是写使能:上升沿写入 ; bit1是读使能:上升沿读取 ; 两个bit不能同时有效
  4817. //@apireg:desc abs-addr:0X8BBC; none
  4818. //@apireg:note reg_hw_name:awg_wr_ctrl
  4819. //@apireg:0xaddr 0X8800 | (((0XEF&0XFF) << 2) | ((0XEF&0X100) << 6))
  4820. 9'H0EF : pro_read_wreg_data <= {{14{1'B0}},awg_wr_ctrl[1:0]};
  4821. //@apireg:group:title DBI
  4822. //@apireg:title DBI_MODULE_EN
  4823. //@apireg:software:name ProDbiModuleEn
  4824. //@apireg:value:appoint bit-width:5 ; 处理板dbi模块开关使能3bit
  4825. //@apireg:desc abs-addr:0X8818; bit2: 代表拼合模块有效 ; bit1:代表幅频补偿模块有效; ; bit0:代表相频补偿模块有效; ; [000]:代表处理板DBI各模块不工作,,,,
  4826. //@apireg:note reg_hw_name:dbi_module_en
  4827. //@apireg:0xaddr 0X8800 | (((0X06&0XFF) << 2) | ((0X06&0X100) << 6))
  4828. 9'H006 : pro_read_wreg_data <= {{11{1'B0}},dbi_module_en[4:0]};
  4829. //@apireg:group:title DBI
  4830. //@apireg:title PRO_FACTOR_SEL
  4831. //@apireg:software:name ProFactorSelect
  4832. //@apireg:value:appoint bit-width:2 ; 处理板dbi模块系数的选择
  4833. //@apireg:desc abs-addr:0X881C; none
  4834. //@apireg:note reg_hw_name:pro_factor_sel
  4835. //@apireg:0xaddr 0X8800 | (((0X07&0XFF) << 2) | ((0X07&0X100) << 6))
  4836. 9'H007 : pro_read_wreg_data <= {{14{1'B0}},pro_factor_sel[1:0]};
  4837. //@apireg:group:title DBI
  4838. //@apireg:title PRO_FACTOR_WA
  4839. //@apireg:software:name ProFactorWa
  4840. //@apireg:value:appoint bit-width:16 ; 处理板dbi模块系数的写地址
  4841. //@apireg:desc abs-addr:0X8820; none
  4842. //@apireg:note reg_hw_name:pro_factor_wa
  4843. //@apireg:0xaddr 0X8800 | (((0X08&0XFF) << 2) | ((0X08&0X100) << 6))
  4844. 9'H008 : pro_read_wreg_data <= pro_factor_wa[15:0] ;
  4845. //@apireg:group:title DBI
  4846. //@apireg:title PRO_FACTOR_WD_HIGH
  4847. //@apireg:software:name ProFactorWdHigh
  4848. //@apireg:value:appoint bit-width:2 ; 处理板dbi模块系数的写地址[17:0]
  4849. //@apireg:desc abs-addr:0X8824; none
  4850. //@apireg:note reg_hw_name:pro_factor_wd_high
  4851. //@apireg:0xaddr 0X8800 | (((0X09&0XFF) << 2) | ((0X09&0X100) << 6))
  4852. 9'H009 : pro_read_wreg_data <= {{14{1'B0}},pro_factor_wd_high[1:0]};
  4853. //@apireg:group:title DBI
  4854. //@apireg:title PRO_FACTOR_WD_LOW
  4855. //@apireg:software:name ProFactorWdLow
  4856. //@apireg:value:appoint bit-width:16 ; 处理板dbi模块系数的写数据[15:0]
  4857. //@apireg:desc abs-addr:0X8828; none
  4858. //@apireg:note reg_hw_name:pro_factor_wd_low
  4859. //@apireg:0xaddr 0X8800 | (((0X0A&0XFF) << 2) | ((0X0A&0X100) << 6))
  4860. 9'H00A : pro_read_wreg_data <= pro_factor_wd_low[15:0] ;
  4861. //@apireg:group:title DBI
  4862. //@apireg:title PRO_FACTOR_WEN
  4863. //@apireg:software:name ProFactorWen
  4864. //@apireg:value:appoint bit-width:1 ; 处理板dbi模块系数的写使能
  4865. //@apireg:desc abs-addr:0X882C; none
  4866. //@apireg:note reg_hw_name:pro_factor_wen
  4867. //@apireg:0xaddr 0X8800 | (((0X0B&0XFF) << 2) | ((0X0B&0X100) << 6))
  4868. 9'H00B : pro_read_wreg_data <= {{15{1'B0}},pro_factor_wen[0:0]};
  4869. //@apireg:group:title DBI
  4870. //@apireg:title DBI_MULT_FACTOR_WA
  4871. //@apireg:software:name ProMultiFactorWa
  4872. //@apireg:value:appoint bit-width:12 ; 10bits,num_of_data
  4873. //@apireg:desc abs-addr:0X8830; 连续自然数,,,,
  4874. //@apireg:note reg_hw_name:dbi_mult_factor_wa
  4875. //@apireg:0xaddr 0X8800 | (((0X0C&0XFF) << 2) | ((0X0C&0X100) << 6))
  4876. 9'H00C : pro_read_wreg_data <= {{4{1'B0}},dbi_mult_factor_wa[11:0]};
  4877. //@apireg:group:title DBI
  4878. //@apireg:title DBI_MULT_FACTOR_WD_HIGH
  4879. //@apireg:software:name ProMultiFactorWdHigh
  4880. //@apireg:value:appoint bit-width:1 ; msb_of_17bits
  4881. //@apireg:desc abs-addr:0X8834; 插值滤波器系数,最高位,,,,
  4882. //@apireg:note reg_hw_name:dbi_mult_factor_wd_high
  4883. //@apireg:0xaddr 0X8800 | (((0X0D&0XFF) << 2) | ((0X0D&0X100) << 6))
  4884. 9'H00D : pro_read_wreg_data <= {{15{1'B0}},dbi_mult_factor_wd_high[0:0]};
  4885. //@apireg:group:title DBI
  4886. //@apireg:title DBI_MULT_FACTOR_WD_LOW
  4887. //@apireg:software:name ProMultiFactorWdLow
  4888. //@apireg:value:appoint bit-width:16 ; low_16bits_of_17bits
  4889. //@apireg:desc abs-addr:0X8838; 插值滤波器系数,低16位,,,,
  4890. //@apireg:note reg_hw_name:dbi_mult_factor_wd_low
  4891. //@apireg:0xaddr 0X8800 | (((0X0E&0XFF) << 2) | ((0X0E&0X100) << 6))
  4892. 9'H00E : pro_read_wreg_data <= dbi_mult_factor_wd_low[15:0];
  4893. //@apireg:group:title DBI
  4894. //@apireg:title DBI_MULT_FACTOR_WEN
  4895. //@apireg:software:name ProMultiFactorWen
  4896. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  4897. //@apireg:desc abs-addr:0X883C; 先发送数据,然后拉高使能完成一次系数发送,每次发系数前拉低,发完系数拉高,,,,
  4898. //@apireg:note reg_hw_name:dbi_mult_factor_wen
  4899. //@apireg:0xaddr 0X8800 | (((0X0F&0XFF) << 2) | ((0X0F&0X100) << 6))
  4900. 9'H00F : pro_read_wreg_data <= {{15{1'B0}},dbi_mult_factor_wen[0:0]};
  4901. //@apireg:group:title DBI
  4902. //@apireg:title DBI_MULT_INTER_EN
  4903. //@apireg:software:name ProMultiInterEn
  4904. //@apireg:value:appoint bit-width:1 ; 1bit, active high
  4905. //@apireg:desc abs-addr:0X8840; 等于1时打开插值,等于0时关闭插值,,,,
  4906. //@apireg:note reg_hw_name:dbi_mult_inter_en
  4907. //@apireg:0xaddr 0X8800 | (((0X10&0XFF) << 2) | ((0X10&0X100) << 6))
  4908. 9'H010 : pro_read_wreg_data <= {{15{1'B0}},dbi_mult_inter_en[0:0]};
  4909. //@apireg:group:title DBI
  4910. //@apireg:title DBI_MULT_INTERP_MUL
  4911. //@apireg:software:name ProMultiInterpRate
  4912. //@apireg:value:appoint bit-width:16 ; 8bits, num of interpolation rate
  4913. //@apireg:desc abs-addr:0X8844; 八位自然数,最大插值倍率为100,所以需要八位位宽 ; 20Gsps模式下的插值倍率:2/4/5/10/20/25/50/100,,,,
  4914. //@apireg:note reg_hw_name:dbi_mult_interp_mul
  4915. //@apireg:0xaddr 0X8800 | (((0X11&0XFF) << 2) | ((0X11&0X100) << 6))
  4916. 9'H011 : pro_read_wreg_data <= dbi_mult_interp_mul[15:0];
  4917. //@apireg:group:title DBI
  4918. //@apireg:title DBI_MULT_RESET_DSP
  4919. //@apireg:software:name ProMultiResetDsp
  4920. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  4921. //@apireg:desc abs-addr:0X8848; 高有效:等于1时复位, ; 发送系数前复位1次,,,,
  4922. //@apireg:note reg_hw_name:dbi_mult_reset_dsp
  4923. //@apireg:0xaddr 0X8800 | (((0X12&0XFF) << 2) | ((0X12&0X100) << 6))
  4924. 9'H012 : pro_read_wreg_data <= {{15{1'B0}},dbi_mult_reset_dsp[0:0]};
  4925. //@apireg:group:title DBI
  4926. //@apireg:title DEBUG_NUM
  4927. //@apireg:software:name SubDataDebugNum
  4928. //@apireg:value:appoint bit-width:6 ; 2bit;数据接收fifo满控制==dbi单双通道模式
  4929. //@apireg:desc abs-addr:0X884C; [00]:输入四路数据; ; [01]:仅输入第一子带数据; ; [10]:仅输入第一、二子带数据 ; [01]:仅输入第一、二、三子带数据,,,,
  4930. //@apireg:note reg_hw_name:debug_num
  4931. //@apireg:0xaddr 0X8800 | (((0X13&0XFF) << 2) | ((0X13&0X100) << 6))
  4932. 9'H013 : pro_read_wreg_data <= {{10{1'B0}},debug_num[5:0]};
  4933. //@apireg:group:title DBI
  4934. //@apireg:title DBI_INTER_COMP_ZERO
  4935. //@apireg:software:name dbi_inter_comp_zero
  4936. //@apireg:value:appoint bit-width:16 ; dbi software 补0操作 [15]使能 [14:0]计数值
  4937. //@apireg:desc abs-addr:0X8AAC; none
  4938. //@apireg:note reg_hw_name:dbi_inter_comp_zero
  4939. //@apireg:0xaddr 0X8800 | (((0XAB&0XFF) << 2) | ((0XAB&0X100) << 6))
  4940. 9'H0AB : pro_read_wreg_data <= dbi_inter_comp_zero[15:0];
  4941. //@apireg:group:title DBI
  4942. //@apireg:title DBI_FACTOR_SELECT_PRO
  4943. //@apireg:software:name DBI_FACTOR_SELSECT_PRO
  4944. //@apireg:value:appoint bit-width:8 ; 处理板选择下发滤波器系数的种类
  4945. //@apireg:desc abs-addr:0X8B8C; 独热码形式,八种滤波器系数,可扩展,,,,
  4946. //@apireg:note reg_hw_name:dbi_factor_select_pro
  4947. //@apireg:0xaddr 0X8800 | (((0XE3&0XFF) << 2) | ((0XE3&0X100) << 6))
  4948. 9'H0E3 : pro_read_wreg_data <= {{8{1'B0}},dbi_factor_select_pro[7:0]};
  4949. //@apireg:group:title DBI
  4950. //@apireg:title DMA_RST_PRO
  4951. //@apireg:software:name DMA_RST_PRO
  4952. //@apireg:value:appoint bit-width:1 ; 处理板dma下发复位信号,更换滤波器系数需要复位
  4953. //@apireg:desc abs-addr:0X8B90; 复位信号,,,,
  4954. //@apireg:note reg_hw_name:dma_rst_pro
  4955. //@apireg:0xaddr 0X8800 | (((0XE4&0XFF) << 2) | ((0XE4&0X100) << 6))
  4956. 9'H0E4 : pro_read_wreg_data <= {{15{1'B0}},dma_rst_pro[0:0]};
  4957. //@apireg:group:title DBI
  4958. //@apireg:title CHANNEL_EN
  4959. //@apireg:software:name channel_en
  4960. //@apireg:value:appoint bit-width:1 ; 通道模式使能
  4961. //@apireg:desc abs-addr:0XC9A8; 通道模式使能,,,,
  4962. //@apireg:note reg_hw_name:channel_en
  4963. //@apireg:0xaddr 0X8800 | (((0X16A&0XFF) << 2) | ((0X16A&0X100) << 6))
  4964. 9'H16A : pro_read_wreg_data <= {{15{1'B0}},channel_en[0:0]};
  4965. //@apireg:group:title DCM_CTRL
  4966. //@apireg:title RST_DCM_CONTROL
  4967. //@apireg:software:name Reset
  4968. //@apireg:value:appoint bit-width:8 ; 8bits,bit2:acq1_7044_sync,bit4:acq2_7044_sync,other bits:no use
  4969. //@apireg:desc abs-addr:0X8850; 第3位,第5位用作采集板7044同步引脚,其余位未使用,,,,
  4970. //@apireg:note reg_hw_name:rst_dcm_control
  4971. //@apireg:0xaddr 0X8800 | (((0X14&0XFF) << 2) | ((0X14&0X100) << 6))
  4972. 9'H014 : pro_read_wreg_data <= {{8{1'B0}},rst_dcm_control[7:0]};
  4973. //@apireg:group:title Data2Pcie
  4974. //@apireg:title DATA_TX_CLK_RESET
  4975. //@apireg:software:name ResetTxClk
  4976. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  4977. //@apireg:desc abs-addr:0X8804; 传输模块ODDR的复位,为高电平时复位,,,,
  4978. //@apireg:note reg_hw_name:data_tx_clk_reset
  4979. //@apireg:0xaddr 0X8800 | (((0X01&0XFF) << 2) | ((0X01&0X100) << 6))
  4980. 9'H001 : pro_read_wreg_data <= {{15{1'B0}},data_tx_clk_reset[0:0]};
  4981. //@apireg:group:title Data2Pcie
  4982. //@apireg:title DATA_TX_IO_RESET
  4983. //@apireg:software:name ResetTxIO
  4984. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  4985. //@apireg:desc abs-addr:0X8808; 传输模块FDRE的复位,为高电平时复位, ; 初始化复位一次,,,,
  4986. //@apireg:note reg_hw_name:data_tx_io_reset
  4987. //@apireg:0xaddr 0X8800 | (((0X02&0XFF) << 2) | ((0X02&0X100) << 6))
  4988. 9'H002 : pro_read_wreg_data <= {{15{1'B0}},data_tx_io_reset[0:0]};
  4989. //@apireg:group:title DataPath
  4990. //@apireg:title PRO_SELECT_ACQ_CHANNEL
  4991. //@apireg:software:name pro_select_acq_channel
  4992. //@apireg:value:appoint bit-width:16 ; 处理板数字信号处理选择数据来自哪个模拟通道,0表示模拟通道1,1表示模拟通道2,以此类推,如果一张采集卡接两个模拟通道,则0/1表示第一张采集卡的数据
  4993. //@apireg:desc abs-addr:0X880C; none
  4994. //@apireg:note reg_hw_name:pro_select_acq_channel
  4995. //@apireg:0xaddr 0X8800 | (((0X03&0XFF) << 2) | ((0X03&0X100) << 6))
  4996. 9'H003 : pro_read_wreg_data <= pro_select_acq_channel[15:0];
  4997. //@apireg:group:title DataPath
  4998. //@apireg:title PRO_LINKDEMUX_SELECT
  4999. //@apireg:software:name pro_linkdemux_select
  5000. //@apireg:value:appoint bit-width:3 ; 处理板接受数据后数据解析类型选择: ; 0:正常时域数据; ; 1:dpo映射时域数据; ; 2:协议解码数据; ; 3、频域数据; ; 4、快传数据; ; 5、la数据; ; 默认态:正常时域数据;
  5001. //@apireg:desc abs-addr:0X8810; none
  5002. //@apireg:note reg_hw_name:pro_linkdemux_select
  5003. //@apireg:0xaddr 0X8800 | (((0X04&0XFF) << 2) | ((0X04&0X100) << 6))
  5004. 9'H004 : pro_read_wreg_data <= {{13{1'B0}},pro_linkdemux_select[2:0]};
  5005. //@apireg:group:title DataPath
  5006. //@apireg:title PRO_LINKMUX_SELECT
  5007. //@apireg:software:name pro_linkmux_select
  5008. //@apireg:value:appoint bit-width:3 ; 处理板到pcie数据的数据解析类型选择: ; 0:正常时域数据; ; 1:dpo映射时域数据; ; 2:协议解码数据; ; 3、频域数据; ; 4、快传数据; ; 5、la数据; ; 默认态:正常时域数据;
  5009. //@apireg:desc abs-addr:0X8814; none
  5010. //@apireg:note reg_hw_name:pro_linkmux_select
  5011. //@apireg:0xaddr 0X8800 | (((0X05&0XFF) << 2) | ((0X05&0X100) << 6))
  5012. 9'H005 : pro_read_wreg_data <= {{13{1'B0}},pro_linkmux_select[2:0]};
  5013. //@apireg:group:title Decoder
  5014. //@apireg:title PROTOCOL_FIFO_RAM_SEL
  5015. //@apireg:software:name DataFromFifoOrRam
  5016. //@apireg:value:appoint bit-width:1 ; 1bit 1:fifo 波形数据 0:ram 解码数据
  5017. //@apireg:desc abs-addr:0X8854; 选择传输波形数据或解码包,,,,
  5018. //@apireg:note reg_hw_name:fifo_ram_sel
  5019. //@apireg:0xaddr 0X8800 | (((0X15&0XFF) << 2) | ((0X15&0X100) << 6))
  5020. 9'H015 : pro_read_wreg_data <= {{15{1'B0}},fifo_ram_sel[0:0]};
  5021. //@apireg:group:title Decoder
  5022. //@apireg:title USER_DATA_H
  5023. //@apireg:software:name protocol_user_data_h
  5024. //@apireg:value:appoint bit-width:16 ; 16bits:触发比较值h,现在无论要发多长的比较值,都只用一个16位接口分批次发送
  5025. //@apireg:desc abs-addr:0X8AB4; 如果要发一个48位的值,应该拆成3次发送。先发送低16位值和地址编码4'b0,然后再发送其有效使能user_data_valid_h;发送中16位时应该先拉低有效,再发送值和地址编码4‘b1,然后再拉高有效;然后再拉低有效,发送高16位值和地址编码4'b2,随后拉高有效.发送完毕后,要拉低有效使能。(两个16位值之间至少要间隔4个时钟,当然以软件下发参数的速度来看,肯定可以满足),,,,
  5026. //@apireg:note reg_hw_name:user_data_h
  5027. //@apireg:0xaddr 0X8800 | (((0XAD&0XFF) << 2) | ((0XAD&0X100) << 6))
  5028. 9'H0AD : pro_read_wreg_data <= user_data_h[15:0] ;
  5029. //@apireg:group:title Decoder
  5030. //@apireg:title USER_DATA_L
  5031. //@apireg:software:name protocol_user_data_l
  5032. //@apireg:value:appoint bit-width:16 ; 16bits:触发比较值l,现在无论要发多长的比较值,都只用一个16位接口分批次发送
  5033. //@apireg:desc abs-addr:0X8AB8; 如果要发一个48位的值,应该拆成3次发送。先发送低16位值和地址编码4'b0,然后再发送其有效使能user_data_valid_h;发送中16位时应该先拉低有效,再发送值和地址编码4‘b1,然后再拉高有效;然后再拉低有效,发送高16位值和地址编码4'b2,随后拉高有效.发送完毕后,要拉低有效使能。,,,,
  5034. //@apireg:note reg_hw_name:user_data_l
  5035. //@apireg:0xaddr 0X8800 | (((0XAE&0XFF) << 2) | ((0XAE&0X100) << 6))
  5036. 9'H0AE : pro_read_wreg_data <= user_data_l[15:0] ;
  5037. //@apireg:group:title Decoder
  5038. //@apireg:title USER_DATA_VALID_H
  5039. //@apireg:software:name protocol_user_data_valid_h
  5040. //@apireg:value:appoint bit-width:1 ; 高有效,拉高时硬件会接收user_data_h
  5041. //@apireg:desc abs-addr:0X8ABC; 每次发送user_data_h前拉低,发送后再拉高,,,,
  5042. //@apireg:note reg_hw_name:user_data_valid_h
  5043. //@apireg:0xaddr 0X8800 | (((0XAF&0XFF) << 2) | ((0XAF&0X100) << 6))
  5044. 9'H0AF : pro_read_wreg_data <= {{15{1'B0}},user_data_valid_h[0:0]};
  5045. //@apireg:group:title Decoder
  5046. //@apireg:title USER_DATA_VALID_L
  5047. //@apireg:software:name protocol_user_data_valid_l
  5048. //@apireg:value:appoint bit-width:1 ; 高有效,拉高时硬件会接收user_data_l
  5049. //@apireg:desc abs-addr:0X8AC0; 每次发送user_data_L前拉低,发送后再拉高,,,,
  5050. //@apireg:note reg_hw_name:user_data_valid_l
  5051. //@apireg:0xaddr 0X8800 | (((0XB0&0XFF) << 2) | ((0XB0&0X100) << 6))
  5052. 9'H0B0 : pro_read_wreg_data <= {{15{1'B0}},user_data_valid_l[0:0]};
  5053. //@apireg:group:title Decoder
  5054. //@apireg:title USER_DATA_ADDR_H
  5055. //@apireg:software:name protocol_user_data_addr_h
  5056. //@apireg:value:appoint bit-width:4 ; user_data_h的地址编码,发送的第一个user_data_h地址编码为0,依次递增。
  5057. //@apireg:desc abs-addr:0X8AC4; user_data_h更新时同步刷新,,,,
  5058. //@apireg:note reg_hw_name:user_data_addr_h
  5059. //@apireg:0xaddr 0X8800 | (((0XB1&0XFF) << 2) | ((0XB1&0X100) << 6))
  5060. 9'H0B1 : pro_read_wreg_data <= {{12{1'B0}},user_data_addr_h[3:0]};
  5061. //@apireg:group:title Decoder
  5062. //@apireg:title USER_DATA_ADDR_L
  5063. //@apireg:software:name protocol_user_data_addr_l
  5064. //@apireg:value:appoint bit-width:4 ; user_data_l的地址编码,发送的第一个user_data_l地址编码为0,依次递增。
  5065. //@apireg:desc abs-addr:0X8AC8; user_data_l更新时同步刷新,,,,
  5066. //@apireg:note reg_hw_name:user_data_addr_l
  5067. //@apireg:0xaddr 0X8800 | (((0XB2&0XFF) << 2) | ((0XB2&0X100) << 6))
  5068. 9'H0B2 : pro_read_wreg_data <= {{12{1'B0}},user_data_addr_l[3:0]};
  5069. //@apireg:group:title Decoder
  5070. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B1_L
  5071. //@apireg:software:name SignalSource_B1_L
  5072. //@apireg:value:appoint bit-width:16 ; 16bits解码通道1信号源选择:协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  5073. //@apireg:desc abs-addr:0X8ACC; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  5074. //@apireg:note reg_hw_name:protocol_source_ch_sel_b1_l
  5075. //@apireg:0xaddr 0X8800 | (((0XB3&0XFF) << 2) | ((0XB3&0X100) << 6))
  5076. 9'H0B3 : pro_read_wreg_data <= protocol_source_ch_sel_b1_l[15:0];
  5077. //@apireg:group:title Decoder
  5078. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B1_M
  5079. //@apireg:software:name SignalSource_B1_M
  5080. //@apireg:value:appoint bit-width:16 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  5081. //@apireg:desc abs-addr:0X8AD0; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  5082. //@apireg:note reg_hw_name:protocol_source_ch_sel_b1_m
  5083. //@apireg:0xaddr 0X8800 | (((0XB4&0XFF) << 2) | ((0XB4&0X100) << 6))
  5084. 9'H0B4 : pro_read_wreg_data <= protocol_source_ch_sel_b1_m[15:0];
  5085. //@apireg:group:title Decoder
  5086. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B1_H
  5087. //@apireg:software:name SignalSource_B1_H
  5088. //@apireg:value:appoint bit-width:4 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  5089. //@apireg:desc abs-addr:0X8AD4; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  5090. //@apireg:note reg_hw_name:protocol_source_ch_sel_b1_h
  5091. //@apireg:0xaddr 0X8800 | (((0XB5&0XFF) << 2) | ((0XB5&0X100) << 6))
  5092. 9'H0B5 : pro_read_wreg_data <= {{12{1'B0}},protocol_source_ch_sel_b1_h[3:0]};
  5093. //@apireg:group:title Decoder
  5094. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B2_L
  5095. //@apireg:software:name SignalSource_B2_L
  5096. //@apireg:value:appoint bit-width:16 ; 16bits解码通道2信号源选择:协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  5097. //@apireg:desc abs-addr:0X8AD8; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  5098. //@apireg:note reg_hw_name:protocol_source_ch_sel_b2_l
  5099. //@apireg:0xaddr 0X8800 | (((0XB6&0XFF) << 2) | ((0XB6&0X100) << 6))
  5100. 9'H0B6 : pro_read_wreg_data <= protocol_source_ch_sel_b2_l[15:0];
  5101. //@apireg:group:title Decoder
  5102. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B2_M
  5103. //@apireg:software:name SignalSource_B2_M
  5104. //@apireg:value:appoint bit-width:16 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  5105. //@apireg:desc abs-addr:0X8ADC; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  5106. //@apireg:note reg_hw_name:protocol_source_ch_sel_b2_m
  5107. //@apireg:0xaddr 0X8800 | (((0XB7&0XFF) << 2) | ((0XB7&0X100) << 6))
  5108. 9'H0B7 : pro_read_wreg_data <= protocol_source_ch_sel_b2_m[15:0];
  5109. //@apireg:group:title Decoder
  5110. //@apireg:title PROTOCOL_SOURCE_CH_SEL_B2_H
  5111. //@apireg:software:name SignalSource_B2_H
  5112. //@apireg:value:appoint bit-width:4 ; 协议模块预留有6个信号源通道,分别是channel0-channel5。而示波器有4个模拟通道+预留48个数字通道,对这4+48=52个通道进行编号,需要6位宽的寄存器。所以6个协议信号源通道需要6*6=36位宽的控制字。每6位用来依次对channel0-channel5选通。
  5113. //@apireg:desc abs-addr:0X8AE0; 不同的解码通道发送的控制字不能冲突,比如解码通道B1占了模拟通道1,那么再打开解码通道B2的时候,就必须选用其它通道。这是理所当然的事,你不可能在CH1上同时输入两种协议信号吧。(协议使能一打开就该发送),,,,
  5114. //@apireg:note reg_hw_name:protocol_source_ch_sel_b2_h
  5115. //@apireg:0xaddr 0X8800 | (((0XB8&0XFF) << 2) | ((0XB8&0X100) << 6))
  5116. 9'H0B8 : pro_read_wreg_data <= {{12{1'B0}},protocol_source_ch_sel_b2_h[3:0]};
  5117. //@apireg:group:title Decoder
  5118. //@apireg:title PROTOCOL_TYPE_B1
  5119. //@apireg:software:name TypeB1
  5120. //@apireg:value:appoint bit-width:5 ; 解码通道b1所选择的协议类型,最多支持32种协议,具体对应关系请看硬件部分(可以看mso2g)
  5121. //@apireg:desc abs-addr:0X8AE4; 不同解码通道协议选择应该不同,不能同时分析同一种协议。(协议使能一打开就该发送),,,,
  5122. //@apireg:note reg_hw_name:protocol_type_b1
  5123. //@apireg:0xaddr 0X8800 | (((0XB9&0XFF) << 2) | ((0XB9&0X100) << 6))
  5124. 9'H0B9 : pro_read_wreg_data <= {{11{1'B0}},protocol_type_b1[4:0]};
  5125. //@apireg:group:title Decoder
  5126. //@apireg:title PROTOCOL_TYPE_B2
  5127. //@apireg:software:name TypeB2
  5128. //@apireg:value:appoint bit-width:5 ; 解码通道b2所选择的协议类型,最多支持32种协议,具体对应关系请看硬件部分(可以看mso2g)
  5129. //@apireg:desc abs-addr:0X8AE8; 不同解码通道协议选择应该不同,不能同时分析同一种协议。(协议使能一打开就该发送),,,,
  5130. //@apireg:note reg_hw_name:protocol_type_b2
  5131. //@apireg:0xaddr 0X8800 | (((0XBA&0XFF) << 2) | ((0XBA&0X100) << 6))
  5132. 9'H0BA : pro_read_wreg_data <= {{11{1'B0}},protocol_type_b2[4:0]};
  5133. //@apireg:group:title Decoder
  5134. //@apireg:title PROTOCOL_RST
  5135. //@apireg:software:name ResetAfterParamChanged
  5136. //@apireg:value:appoint bit-width:1 ; 协议模块使能,高有效。
  5137. //@apireg:desc abs-addr:0X8AEC; 协议全局使能,要打开协议使能必须将其拉高。,,,,
  5138. //@apireg:note reg_hw_name:protocol_rst
  5139. //@apireg:0xaddr 0X8800 | (((0XBB&0XFF) << 2) | ((0XBB&0X100) << 6))
  5140. 9'H0BB : pro_read_wreg_data <= {{15{1'B0}},protocol_rst[0:0]};
  5141. //@apireg:group:title Decoder
  5142. //@apireg:title PROTOCOL_TYPE
  5143. //@apireg:software:name ProtocolTypeForTrigger
  5144. //@apireg:value:appoint bit-width:5 ; 触发通道协议选择
  5145. //@apireg:desc abs-addr:0X8AF0; 其值应该和protocol_type_B1或protocol_type_B2同步发送,而且发送值相同。其作用仅仅是为了分配set参数,由于不会同时分析相同协议,所以不需要区分B1和B2。(原来有protocol_type4trigger和protocol_type4decode之分,现在不需要了,所以连带decode_or_trigger这个控制字也不需要了),,,,
  5146. //@apireg:note reg_hw_name:protocol_type
  5147. //@apireg:0xaddr 0X8800 | (((0XBC&0XFF) << 2) | ((0XBC&0X100) << 6))
  5148. 9'H0BC : pro_read_wreg_data <= {{11{1'B0}},protocol_type[4:0]};
  5149. //@apireg:group:title Decoder
  5150. //@apireg:title TRIG_CTRL_WORD0
  5151. //@apireg:software:name TrigControlWordL
  5152. //@apireg:value:appoint bit-width:16 ; 协议set控制参数低16位
  5153. //@apireg:desc abs-addr:0X8AF4; 协议使能打开后,从低位依次发送。,,,,
  5154. //@apireg:note reg_hw_name:trig_ctrl_word0
  5155. //@apireg:0xaddr 0X8800 | (((0XBD&0XFF) << 2) | ((0XBD&0X100) << 6))
  5156. 9'H0BD : pro_read_wreg_data <= trig_ctrl_word0[15:0] ;
  5157. //@apireg:group:title Decoder
  5158. //@apireg:title TRIG_CTRL_WORD1
  5159. //@apireg:software:name TrigControlWordM
  5160. //@apireg:value:appoint bit-width:16 ; 协议set控制参数中16位
  5161. //@apireg:desc abs-addr:0X8AF8; none
  5162. //@apireg:note reg_hw_name:trig_ctrl_word1
  5163. //@apireg:0xaddr 0X8800 | (((0XBE&0XFF) << 2) | ((0XBE&0X100) << 6))
  5164. 9'H0BE : pro_read_wreg_data <= trig_ctrl_word1[15:0] ;
  5165. //@apireg:group:title Decoder
  5166. //@apireg:title TRIG_CTRL_WORD2
  5167. //@apireg:software:name TrigControlWordH
  5168. //@apireg:value:appoint bit-width:16 ; 协议set控制参数高16位
  5169. //@apireg:desc abs-addr:0X8AFC; none
  5170. //@apireg:note reg_hw_name:trig_ctrl_word2
  5171. //@apireg:0xaddr 0X8800 | (((0XBF&0XFF) << 2) | ((0XBF&0X100) << 6))
  5172. 9'H0BF : pro_read_wreg_data <= trig_ctrl_word2[15:0] ;
  5173. //@apireg:group:title Decoder
  5174. //@apireg:title DECODE_RST
  5175. //@apireg:software:name RamResetEnable
  5176. //@apireg:value:appoint bit-width:1 ; 协议模块解码使能,高有效。
  5177. //@apireg:desc abs-addr:0X8B00; 要观察解码标签必须打开此使能,,,,
  5178. //@apireg:note reg_hw_name:decode_rst
  5179. //@apireg:0xaddr 0X8800 | (((0XC0&0XFF) << 2) | ((0XC0&0X100) << 6))
  5180. 9'H0C0 : pro_read_wreg_data <= {{15{1'B0}},decode_rst[0:0]};
  5181. //@apireg:group:title Decoder
  5182. //@apireg:title DSP_SET_B1
  5183. //@apireg:software:name B1Enable
  5184. //@apireg:value:appoint bit-width:1 ; 解码通道b1使能,高有效。
  5185. //@apireg:desc abs-addr:0X8B04; 示波器上打开对应解码通道,在选取协议类型后应该打开通道使能。,,,,
  5186. //@apireg:note reg_hw_name:dsp_set_b1
  5187. //@apireg:0xaddr 0X8800 | (((0XC1&0XFF) << 2) | ((0XC1&0X100) << 6))
  5188. 9'H0C1 : pro_read_wreg_data <= {{15{1'B0}},dsp_set_b1[0:0]};
  5189. //@apireg:group:title Decoder
  5190. //@apireg:title DSP_SET_B2
  5191. //@apireg:software:name B2Enable
  5192. //@apireg:value:appoint bit-width:1 ; 解码通道b2使能,高有效。
  5193. //@apireg:desc abs-addr:0X8B08; 同上,,,,
  5194. //@apireg:note reg_hw_name:dsp_set_b2
  5195. //@apireg:0xaddr 0X8800 | (((0XC2&0XFF) << 2) | ((0XC2&0X100) << 6))
  5196. 9'H0C2 : pro_read_wreg_data <= {{15{1'B0}},dsp_set_b2[0:0]};
  5197. //@apireg:group:title Decoder
  5198. //@apireg:title DECODE_RAM_PREDEPTH
  5199. //@apireg:software:name RamPreDepth
  5200. //@apireg:value:appoint bit-width:12 ; 解码ram预触发深度
  5201. //@apireg:desc abs-addr:0X8B0C; 根据需求设置,打开解码使能后发送。,,,,
  5202. //@apireg:note reg_hw_name:decode_ram_predepth
  5203. //@apireg:0xaddr 0X8800 | (((0XC3&0XFF) << 2) | ((0XC3&0X100) << 6))
  5204. 9'H0C3 : pro_read_wreg_data <= {{4{1'B0}},decode_ram_predepth[11:0]};
  5205. //@apireg:group:title Decoder
  5206. //@apireg:title DSP_WRRAM_EN
  5207. //@apireg:software:name RamWriteEnable
  5208. //@apireg:value:appoint bit-width:1 ; 解码ram写使能,高有效
  5209. //@apireg:desc abs-addr:0X8B10; 打开解码使能的时候就应该打开解码RAM写使能。,,,,
  5210. //@apireg:note reg_hw_name:dsp_wrram_en
  5211. //@apireg:0xaddr 0X8800 | (((0XC4&0XFF) << 2) | ((0XC4&0X100) << 6))
  5212. 9'H0C4 : pro_read_wreg_data <= {{15{1'B0}},dsp_wrram_en[0:0]};
  5213. //@apireg:group:title Decoder
  5214. //@apireg:title DSP_RDRAM_EN
  5215. //@apireg:software:name RamReadEnable
  5216. //@apireg:value:appoint bit-width:1 ; 解码ram读使能,高有效
  5217. //@apireg:desc abs-addr:0X8B14; 打开解码使能后应该定期发送RAM读使能,,,,
  5218. //@apireg:note reg_hw_name:dsp_rdram_en
  5219. //@apireg:0xaddr 0X8800 | (((0XC5&0XFF) << 2) | ((0XC5&0X100) << 6))
  5220. 9'H0C5 : pro_read_wreg_data <= {{15{1'B0}},dsp_rdram_en[0:0]};
  5221. //@apireg:group:title Decoder
  5222. //@apireg:title TRIG_TYPE_SEL
  5223. //@apireg:software:name TrigTypeSelect
  5224. //@apireg:value:appoint bit-width:5 ; 协议触发源选择
  5225. //@apireg:desc abs-addr:0X8B18; 打开协议使能后应该发送,其值应该和当前激活协议通道的控制字protocol_type相同。,,,,
  5226. //@apireg:note reg_hw_name:trig_type_sel
  5227. //@apireg:0xaddr 0X8800 | (((0XC6&0XFF) << 2) | ((0XC6&0X100) << 6))
  5228. 9'H0C6 : pro_read_wreg_data <= {{11{1'B0}},trig_type_sel[4:0]};
  5229. //@apireg:group:title Dpo
  5230. //@apireg:title DPO_DIGITAL_TRIG_EN
  5231. //@apireg:software:name DigitalTrigEnable
  5232. //@apireg:value:appoint bit-width:1 ; 0:数字触发关闭; ; 1:数字触发使能
  5233. //@apireg:desc abs-addr:0X8858; 可以与其他部分的数字触发使能复用,,,,
  5234. //@apireg:note reg_hw_name:dpo_digital_trig_en
  5235. //@apireg:0xaddr 0X8800 | (((0X16&0XFF) << 2) | ((0X16&0X100) << 6))
  5236. 9'H016 : pro_read_wreg_data <= {{15{1'B0}},dpo_digital_trig_en[0:0]};
  5237. //@apireg:group:title Dpo
  5238. //@apireg:title DPO_DIN_TEST_CONTROL
  5239. //@apireg:software:name dpo_din_test_control
  5240. //@apireg:value:appoint bit-width:1 ; dpo数据测试使能
  5241. //@apireg:desc abs-addr:0X885C; none
  5242. //@apireg:note reg_hw_name:dpo_din_test_control
  5243. //@apireg:0xaddr 0X8800 | (((0X17&0XFF) << 2) | ((0X17&0X100) << 6))
  5244. 9'H017 : pro_read_wreg_data <= {{15{1'B0}},dpo_din_test_control[0:0]};
  5245. //@apireg:group:title Dpo
  5246. //@apireg:title DPO_EN
  5247. //@apireg:software:name Enable
  5248. //@apireg:value:appoint bit-width:2 ; bit0:三维映射使能,高有效; ; bit1:三维映射模式,1表示矢量映射,0表示映射
  5249. //@apireg:desc abs-addr:0X8860; none
  5250. //@apireg:note reg_hw_name:dpo_en
  5251. //@apireg:0xaddr 0X8800 | (((0X18&0XFF) << 2) | ((0X18&0X100) << 6))
  5252. 9'H018 : pro_read_wreg_data <= {{14{1'B0}},dpo_en[1:0]} ;
  5253. //@apireg:group:title Dpo
  5254. //@apireg:title DPO_DECIMATION
  5255. //@apireg:software:name ExtractNum
  5256. //@apireg:value:appoint bit-width:4 ; 4bit后抽倍数
  5257. //@apireg:desc abs-addr:0X8864; none
  5258. //@apireg:note reg_hw_name:dpo_decimation
  5259. //@apireg:0xaddr 0X8800 | (((0X19&0XFF) << 2) | ((0X19&0X100) << 6))
  5260. 9'H019 : pro_read_wreg_data <= {{12{1'B0}},dpo_decimation[3:0]};
  5261. //@apireg:group:title Dpo
  5262. //@apireg:title DPO_CHANNEL_MODE
  5263. //@apireg:software:name MapChMode
  5264. //@apireg:value:appoint bit-width:1 ; 三维映射采集数据8路/4路传输控制
  5265. //@apireg:desc abs-addr:0X8868; 三维映射采集数据8路/4路传输控制(采集板传到处理板),单通道8路传输,双通道4路传输,,,,
  5266. //@apireg:note reg_hw_name:dpo_channel_mode
  5267. //@apireg:0xaddr 0X8800 | (((0X1A&0XFF) << 2) | ((0X1A&0X100) << 6))
  5268. 9'H01A : pro_read_wreg_data <= {{15{1'B0}},dpo_channel_mode[0:0]};
  5269. //@apireg:group:title Dpo
  5270. //@apireg:title DPO_MAP_FIFO_DEPTH
  5271. //@apireg:software:name MapFifoDepth
  5272. //@apireg:value:appoint bit-width:16 ; 并行映射fifo预满深度
  5273. //@apireg:desc abs-addr:0X886C; 并行映射fifo预满深度,,,,
  5274. //@apireg:note reg_hw_name:dpo_map_fifo_depth
  5275. //@apireg:0xaddr 0X8800 | (((0X1B&0XFF) << 2) | ((0X1B&0X100) << 6))
  5276. 9'H01B : pro_read_wreg_data <= dpo_map_fifo_depth[15:0] ;
  5277. //@apireg:group:title Dpo
  5278. //@apireg:title DPO_MEASURE_FIFO_DEPTH
  5279. //@apireg:software:name MeasureFifoDepth
  5280. //@apireg:value:appoint bit-width:16 ; 三维映射参数测量fifo预满深度
  5281. //@apireg:desc abs-addr:0X8870; 三维映射软件FIFO预满深度,,,,
  5282. //@apireg:note reg_hw_name:dpo_measure_fifo_depth
  5283. //@apireg:0xaddr 0X8800 | (((0X1C&0XFF) << 2) | ((0X1C&0X100) << 6))
  5284. 9'H01C : pro_read_wreg_data <= dpo_measure_fifo_depth[15:0];
  5285. //@apireg:group:title Dpo
  5286. //@apireg:title DPO_PRO_RESET
  5287. //@apireg:software:name OutReset
  5288. //@apireg:value:appoint bit-width:1 ; 0:不进行复位; ; 1:复位输出行列
  5289. //@apireg:desc abs-addr:0X8874; 不确定新的送显方式是否仍需要,保留,,,,
  5290. //@apireg:note reg_hw_name:dpo_pro_reset
  5291. //@apireg:0xaddr 0X8800 | (((0X1D&0XFF) << 2) | ((0X1D&0X100) << 6))
  5292. 9'H01D : pro_read_wreg_data <= {{15{1'B0}},dpo_pro_reset[0:0]};
  5293. //@apireg:group:title Dpo
  5294. //@apireg:title DPO_PARALLEL_EN
  5295. //@apireg:software:name ParallelDpx
  5296. //@apireg:value:appoint bit-width:1 ; 三维映射乒乓使能
  5297. //@apireg:desc abs-addr:0X8878; none
  5298. //@apireg:note reg_hw_name:dpo_parallel_en
  5299. //@apireg:0xaddr 0X8800 | (((0X1E&0XFF) << 2) | ((0X1E&0X100) << 6))
  5300. 9'H01E : pro_read_wreg_data <= {{15{1'B0}},dpo_parallel_en[0:0]};
  5301. //@apireg:group:title Dpo
  5302. //@apireg:title DPX_RAM_TEST_D_CTRL
  5303. //@apireg:software:name RAMTestEn
  5304. //@apireg:value:appoint bit-width:1 ; 送显映射数据测试使能(测试pcie传输)
  5305. //@apireg:desc abs-addr:0X887C; 送显映射数据测试使能(测试PCIE传输),,,,
  5306. //@apireg:note reg_hw_name:dpx_ram_test_d_ctrl
  5307. //@apireg:0xaddr 0X8800 | (((0X1F&0XFF) << 2) | ((0X1F&0X100) << 6))
  5308. 9'H01F : pro_read_wreg_data <= {{15{1'B0}},dpx_ram_test_d_ctrl[0:0]};
  5309. //@apireg:group:title Dpo
  5310. //@apireg:title DPO_TIME_OVER
  5311. //@apireg:software:name TimeOverCtrlWord
  5312. //@apireg:value:appoint bit-width:1 ; 0:计时未到; ; 1:计时超时
  5313. //@apireg:desc abs-addr:0X8880; 发1表明pc需要读取数据,硬件停止当前的波形映射,进行送显,,,,
  5314. //@apireg:note reg_hw_name:dpo_time_over
  5315. //@apireg:0xaddr 0X8800 | (((0X20&0XFF) << 2) | ((0X20&0X100) << 6))
  5316. 9'H020 : pro_read_wreg_data <= {{15{1'B0}},dpo_time_over[0:0]};
  5317. //@apireg:group:title Dpo
  5318. //@apireg:title DPO_CNT_SCREEN_MAX
  5319. //@apireg:software:name cnt_screen_max
  5320. //@apireg:value:appoint bit-width:16 ; ??映射次数??默认 0x1fff
  5321. //@apireg:desc abs-addr:0X8B3C; 默认 0X1FFF,,,,
  5322. //@apireg:note reg_hw_name:dpo_cnt_screen_max
  5323. //@apireg:0xaddr 0X8800 | (((0XCF&0XFF) << 2) | ((0XCF&0X100) << 6))
  5324. 9'H0CF : pro_read_wreg_data <= dpo_cnt_screen_max[15:0] ;
  5325. //@apireg:group:title Dpo
  5326. //@apireg:title DPO_TEST_CTRL
  5327. //@apireg:software:name dpo_test_ctrl
  5328. //@apireg:value:appoint bit-width:16 ; 测试模式控制
  5329. //@apireg:desc abs-addr:0X8B40; none
  5330. //@apireg:note reg_hw_name:dpo_test_ctrl
  5331. //@apireg:0xaddr 0X8800 | (((0XD0&0XFF) << 2) | ((0XD0&0X100) << 6))
  5332. 9'H0D0 : pro_read_wreg_data <= dpo_test_ctrl[15:0] ;
  5333. //@apireg:group:title Dpo
  5334. //@apireg:title PRO_PINGPONG_CNT_THRESH
  5335. //@apireg:software:name pro_pingpong_cnt_thresh
  5336. //@apireg:value:appoint bit-width:16 ; 处理板乒乓传输计数器阈值
  5337. //@apireg:desc abs-addr:0X8B94; none
  5338. //@apireg:note reg_hw_name:pro_pingpong_cnt_thresh
  5339. //@apireg:0xaddr 0X8800 | (((0XE5&0XFF) << 2) | ((0XE5&0X100) << 6))
  5340. 9'H0E5 : pro_read_wreg_data <= pro_pingpong_cnt_thresh[15:0];
  5341. //@apireg:group:title FPGAFlashUpdater
  5342. //@apireg:title PRO_CONFIG_FLASH_READSTART
  5343. //@apireg:software:name ReadStart
  5344. //@apireg:value:appoint bit-width:1 ; 启动接收flash的数据,等待时间与spiclock有关。先启动,等待,读数,然后关闭
  5345. //@apireg:desc abs-addr:0X8890; 读回下发寄存器的值,,,,
  5346. //@apireg:note reg_hw_name:pro_config_flash_readstart
  5347. //@apireg:0xaddr 0X8800 | (((0X24&0XFF) << 2) | ((0X24&0X100) << 6))
  5348. 9'H024 : pro_read_wreg_data <= {{15{1'B0}},pro_config_flash_readstart[0:0]};
  5349. //@apireg:group:title FPGAFlashUpdater
  5350. //@apireg:title PRO_CONFIG_FLASH_SPICLOCK_DIV
  5351. //@apireg:software:name SpiClock
  5352. //@apireg:value:appoint bit-width:8 ; 独热码,指定spi的时钟的分频比
  5353. //@apireg:desc abs-addr:0X8894; none
  5354. //@apireg:note reg_hw_name:pro_config_flash_spiclock_div
  5355. //@apireg:0xaddr 0X8800 | (((0X25&0XFF) << 2) | ((0X25&0X100) << 6))
  5356. 9'H025 : pro_read_wreg_data <= {{8{1'B0}},pro_config_flash_spiclock_div[7:0]};
  5357. //@apireg:group:title FPGAFlashUpdater
  5358. //@apireg:title PRO_CONFIG_FLASH_SS
  5359. //@apireg:software:name SS
  5360. //@apireg:value:appoint bit-width:1 ; 通过spi总线往来传输数据时为1,关闭spi总线时为0,参照flash命令时序图
  5361. //@apireg:desc abs-addr:0X8898; none
  5362. //@apireg:note reg_hw_name:pro_config_flash_ss
  5363. //@apireg:0xaddr 0X8800 | (((0X26&0XFF) << 2) | ((0X26&0X100) << 6))
  5364. 9'H026 : pro_read_wreg_data <= {{15{1'B0}},pro_config_flash_ss[0:0]};
  5365. //@apireg:group:title FPGAFlashUpdater
  5366. //@apireg:title PRO_CONFIG_FLASH_WRITEDATA
  5367. //@apireg:software:name WriteData
  5368. //@apireg:value:appoint bit-width:8 ; 传向flash的数据,每次8bit
  5369. //@apireg:desc abs-addr:0X889C; none
  5370. //@apireg:note reg_hw_name:pro_config_flash_writedata
  5371. //@apireg:0xaddr 0X8800 | (((0X27&0XFF) << 2) | ((0X27&0X100) << 6))
  5372. 9'H027 : pro_read_wreg_data <= {{8{1'B0}},pro_config_flash_writedata[7:0]};
  5373. //@apireg:group:title FPGAFlashUpdater
  5374. //@apireg:title PRO_CONFIG_FLASH_WRITESTART
  5375. //@apireg:software:name WriteStart
  5376. //@apireg:value:appoint bit-width:1 ; 启动先flash的数据传输,每次8bit,等待的时间与spiclock有关。先writedata,然后启动传输,之后关闭
  5377. //@apireg:desc abs-addr:0X88A0; none
  5378. //@apireg:note reg_hw_name:pro_config_flash_writestart
  5379. //@apireg:0xaddr 0X8800 | (((0X28&0XFF) << 2) | ((0X28&0X100) << 6))
  5380. 9'H028 : pro_read_wreg_data <= {{15{1'B0}},pro_config_flash_writestart[0:0]};
  5381. //@apireg:group:title FREQ_DETECTION
  5382. //@apireg:title AFC_FACTOR_CH_SEL
  5383. //@apireg:software:name afc_factor_ch_sel
  5384. //@apireg:value:appoint bit-width:2 ; 处理板四通道系数下发选择:(00选通第一通道道 01第二通道 10第三通道 11第四通道)
  5385. //@apireg:desc abs-addr:0XC9B0; none
  5386. //@apireg:note reg_hw_name:afc_factor_ch_sel
  5387. //@apireg:0xaddr 0X8800 | (((0X16C&0XFF) << 2) | ((0X16C&0X100) << 6))
  5388. 9'H16C : pro_read_wreg_data <= {{14{1'B0}},afc_factor_ch_sel[1:0]};
  5389. //@apireg:group:title FREQ_DETECTION
  5390. //@apireg:title AFC_FACTOR_WA
  5391. //@apireg:software:name afc_factor_wa
  5392. //@apireg:value:appoint bit-width:16 ; 系数地址
  5393. //@apireg:desc abs-addr:0XC9B4; none
  5394. //@apireg:note reg_hw_name:afc_factor_wa
  5395. //@apireg:0xaddr 0X8800 | (((0X16D&0XFF) << 2) | ((0X16D&0X100) << 6))
  5396. 9'H16D : pro_read_wreg_data <= afc_factor_wa[15:0] ;
  5397. //@apireg:group:title FREQ_DETECTION
  5398. //@apireg:title AFC_FACTOR_WD_L
  5399. //@apireg:software:name afc_factor_wd_L
  5400. //@apireg:value:appoint bit-width:16 ; 系数低16bit
  5401. //@apireg:desc abs-addr:0XC9B8; none
  5402. //@apireg:note reg_hw_name:afc_factor_wd_l
  5403. //@apireg:0xaddr 0X8800 | (((0X16E&0XFF) << 2) | ((0X16E&0X100) << 6))
  5404. 9'H16E : pro_read_wreg_data <= afc_factor_wd_l[15:0] ;
  5405. //@apireg:group:title FREQ_DETECTION
  5406. //@apireg:title AFC_FACTOR_WD_H
  5407. //@apireg:software:name afc_factor_wd_H
  5408. //@apireg:value:appoint bit-width:16 ; 系数高bit
  5409. //@apireg:desc abs-addr:0XC9BC; none
  5410. //@apireg:note reg_hw_name:afc_factor_wd_h
  5411. //@apireg:0xaddr 0X8800 | (((0X16F&0XFF) << 2) | ((0X16F&0X100) << 6))
  5412. 9'H16F : pro_read_wreg_data <= afc_factor_wd_h[15:0] ;
  5413. //@apireg:group:title FREQ_DETECTION
  5414. //@apireg:title AFC_FACTOR_WEN
  5415. //@apireg:software:name afc_factor_wen
  5416. //@apireg:value:appoint bit-width:1 ; 系数写使能 (硬件检测上升沿接收数据)
  5417. //@apireg:desc abs-addr:0XC9C0; none
  5418. //@apireg:note reg_hw_name:afc_factor_wen
  5419. //@apireg:0xaddr 0X8800 | (((0X170&0XFF) << 2) | ((0X170&0X100) << 6))
  5420. 9'H170 : pro_read_wreg_data <= {{15{1'B0}},afc_factor_wen[0:0]};
  5421. //@apireg:group:title FREQ_DETECTION
  5422. //@apireg:title INTERP_FACTOR_WA
  5423. //@apireg:software:name interp_factor_wa
  5424. //@apireg:value:appoint bit-width:16 ; 系数地址
  5425. //@apireg:desc abs-addr:0XC9C4; none
  5426. //@apireg:note reg_hw_name:interp_factor_wa
  5427. //@apireg:0xaddr 0X8800 | (((0X171&0XFF) << 2) | ((0X171&0X100) << 6))
  5428. 9'H171 : pro_read_wreg_data <= interp_factor_wa[15:0] ;
  5429. //@apireg:group:title FREQ_DETECTION
  5430. //@apireg:title INTERP_FACTOR_WD_L
  5431. //@apireg:software:name interp_factor_wd_L
  5432. //@apireg:value:appoint bit-width:16 ; 系数低16bit
  5433. //@apireg:desc abs-addr:0XC9C8; none
  5434. //@apireg:note reg_hw_name:interp_factor_wd_l
  5435. //@apireg:0xaddr 0X8800 | (((0X172&0XFF) << 2) | ((0X172&0X100) << 6))
  5436. 9'H172 : pro_read_wreg_data <= interp_factor_wd_l[15:0] ;
  5437. //@apireg:group:title FREQ_DETECTION
  5438. //@apireg:title INTERP_FACTOR_WD_H
  5439. //@apireg:software:name interp_factor_wd_H
  5440. //@apireg:value:appoint bit-width:16 ; 系数高bit
  5441. //@apireg:desc abs-addr:0XC9CC; none
  5442. //@apireg:note reg_hw_name:interp_factor_wd_h
  5443. //@apireg:0xaddr 0X8800 | (((0X173&0XFF) << 2) | ((0X173&0X100) << 6))
  5444. 9'H173 : pro_read_wreg_data <= interp_factor_wd_h[15:0] ;
  5445. //@apireg:group:title FREQ_DETECTION
  5446. //@apireg:title INTERP_FACTOR_WEN
  5447. //@apireg:software:name interp_factor_wen
  5448. //@apireg:value:appoint bit-width:1 ; 系数写使能(硬件检测上升沿接收数据)
  5449. //@apireg:desc abs-addr:0XC9D0; none
  5450. //@apireg:note reg_hw_name:interp_factor_wen
  5451. //@apireg:0xaddr 0X8800 | (((0X174&0XFF) << 2) | ((0X174&0X100) << 6))
  5452. 9'H174 : pro_read_wreg_data <= {{15{1'B0}},interp_factor_wen[0:0]};
  5453. //@apireg:group:title FREQ_DETECTION
  5454. //@apireg:title PRO_AFC_EN
  5455. //@apireg:software:name pro_afc_en
  5456. //@apireg:value:appoint bit-width:1 ; 幅频校准使能
  5457. //@apireg:desc abs-addr:0XC9D4; none
  5458. //@apireg:note reg_hw_name:pro_afc_en
  5459. //@apireg:0xaddr 0X8800 | (((0X175&0XFF) << 2) | ((0X175&0X100) << 6))
  5460. 9'H175 : pro_read_wreg_data <= {{15{1'B0}},pro_afc_en[0:0]};
  5461. //@apireg:group:title FREQ_DETECTION
  5462. //@apireg:title PRO_INTERP_EN
  5463. //@apireg:software:name pro_interp_en
  5464. //@apireg:value:appoint bit-width:1 ; 插值使能
  5465. //@apireg:desc abs-addr:0XC9D8; none
  5466. //@apireg:note reg_hw_name:pro_interp_en
  5467. //@apireg:0xaddr 0X8800 | (((0X176&0XFF) << 2) | ((0X176&0X100) << 6))
  5468. 9'H176 : pro_read_wreg_data <= {{15{1'B0}},pro_interp_en[0:0]};
  5469. //@apireg:group:title FifoCtrl
  5470. //@apireg:title DSP_FIFO_START
  5471. //@apireg:software:name AcqWriteEnable
  5472. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  5473. //@apireg:desc abs-addr:0X8884; 0->1 上升沿 复位,当该写使能有效并且采集板数据有效, ; 采集板FIFO未满时,采集板FIFO的写使能才有效, ; 每次采集时,先发一次0, ; 再发一次1表明本次采集开始写入FIFO,,,,
  5474. //@apireg:note reg_hw_name:dsp_fifo_start
  5475. //@apireg:0xaddr 0X8800 | (((0X21&0XFF) << 2) | ((0X21&0X100) << 6))
  5476. 9'H021 : pro_read_wreg_data <= {{15{1'B0}},dsp_fifo_start[0:0]};
  5477. //@apireg:group:title FifoCtrl
  5478. //@apireg:title PRO_FIFO_DEPTH
  5479. //@apireg:software:name FullProgDepth
  5480. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  5481. //@apireg:desc abs-addr:0X8888; 处理板软件Fifo深度,最大16384, ; 初始化发送值为12288,,,,
  5482. //@apireg:note reg_hw_name:pro_fifo_depth
  5483. //@apireg:0xaddr 0X8800 | (((0X22&0XFF) << 2) | ((0X22&0X100) << 6))
  5484. 9'H022 : pro_read_wreg_data <= pro_fifo_depth[15:0] ;
  5485. //@apireg:group:title FifoCtrl
  5486. //@apireg:title PARALLEL_FIFO_THRESHOLD
  5487. //@apireg:software:name ParallelFifoDepth
  5488. //@apireg:value:appoint bit-width:16 ; 16bit 并行regular fifo可编程满深度
  5489. //@apireg:desc abs-addr:0X888C; 默认值为6144,,,,
  5490. //@apireg:note reg_hw_name:parallel_fifo_threshold
  5491. //@apireg:0xaddr 0X8800 | (((0X23&0XFF) << 2) | ((0X23&0X100) << 6))
  5492. 9'H023 : pro_read_wreg_data <= parallel_fifo_threshold[15:0];
  5493. //@apireg:group:title Inverter
  5494. //@apireg:title INVERTER_EN
  5495. //@apireg:software:name Enable
  5496. //@apireg:value:appoint bit-width:16 ; 通道反相运算使能,高有效,bit0对应模拟通道0,bit1对应模拟通道1,以此类推
  5497. //@apireg:desc abs-addr:0X88A4; none
  5498. //@apireg:note reg_hw_name:inverter_en
  5499. //@apireg:0xaddr 0X8800 | (((0X29&0XFF) << 2) | ((0X29&0X100) << 6))
  5500. 9'H029 : pro_read_wreg_data <= inverter_en[15:0] ;
  5501. //@apireg:group:title IoCtrl
  5502. //@apireg:title CLK_SOURCE_SELECT
  5503. //@apireg:software:name clk_source_select
  5504. //@apireg:value:appoint bit-width:16 ; 外部10m输入选择
  5505. //@apireg:desc abs-addr:0XC9E0; none
  5506. //@apireg:note reg_hw_name:clk_source_select
  5507. //@apireg:0xaddr 0X8800 | (((0X178&0XFF) << 2) | ((0X178&0X100) << 6))
  5508. 9'H178 : pro_read_wreg_data <= clk_source_select[15:0] ;
  5509. //@apireg:group:title LA
  5510. //@apireg:title V7_AD5668_CTRL_DATA_HIGH
  5511. //@apireg:software:name AD5668CtrlDataH
  5512. //@apireg:value:appoint bit-width:16 ; 深机箱用。16bit
  5513. //@apireg:desc abs-addr:0X88A8; 使能后发送的32位数据的低16位,,,,
  5514. //@apireg:note reg_hw_name:v7_ad5668_ctrl_data_high
  5515. //@apireg:0xaddr 0X8800 | (((0X2A&0XFF) << 2) | ((0X2A&0X100) << 6))
  5516. 9'H02A : pro_read_wreg_data <= v7_ad5668_ctrl_data_high[15:0];
  5517. //@apireg:group:title LA
  5518. //@apireg:title V7_AD5668_CTRL_DATA_LOW
  5519. //@apireg:software:name AD5668CtrlDataL
  5520. //@apireg:value:appoint bit-width:16 ; 深机箱用。16bit,参照手册。包含比较电平的发送
  5521. //@apireg:desc abs-addr:0X88AC; 使能后发送的32位数据的高16位,,,,
  5522. //@apireg:note reg_hw_name:v7_ad5668_ctrl_data_low
  5523. //@apireg:0xaddr 0X8800 | (((0X2B&0XFF) << 2) | ((0X2B&0X100) << 6))
  5524. 9'H02B : pro_read_wreg_data <= v7_ad5668_ctrl_data_low[15:0];
  5525. //@apireg:group:title LA
  5526. //@apireg:title V7_AD5668START
  5527. //@apireg:software:name AD5668TransStart
  5528. //@apireg:value:appoint bit-width:16 ; 深机箱用。16bit,为上升沿有效,000:拉低停止传输,111:拉高开始传输
  5529. //@apireg:desc abs-addr:0X88B0; 使能后发送32位数据,延迟需要满足通过SPI将32位数据传输完毕,与传输该数据的SPI的Clock有关。先将数据发送给FPGA,然后拉高,延时足够的时间,然后拉低。,,,,
  5530. //@apireg:note reg_hw_name:v7_ad5668start
  5531. //@apireg:0xaddr 0X8800 | (((0X2C&0XFF) << 2) | ((0X2C&0X100) << 6))
  5532. 9'H02C : pro_read_wreg_data <= v7_ad5668start[15:0] ;
  5533. //@apireg:group:title LA
  5534. //@apireg:title LA_DECIMATION_H16
  5535. //@apireg:software:name DecimationH16
  5536. //@apireg:value:appoint bit-width:16 ; 16bit
  5537. //@apireg:desc abs-addr:0X88B4; 抽取比的高16位,抽取比取值范围为1~10_000_000_000,,,,
  5538. //@apireg:note reg_hw_name:la_decimation_h16
  5539. //@apireg:0xaddr 0X8800 | (((0X2D&0XFF) << 2) | ((0X2D&0X100) << 6))
  5540. 9'H02D : pro_read_wreg_data <= la_decimation_h16[15:0] ;
  5541. //@apireg:group:title LA
  5542. //@apireg:title LA_DECIMATION_L16
  5543. //@apireg:software:name DecimationL16
  5544. //@apireg:value:appoint bit-width:16 ; 16bit
  5545. //@apireg:desc abs-addr:0X88B8; 抽取比的低16位,抽取比取值范围为1~10_000_000_000,,,,
  5546. //@apireg:note reg_hw_name:la_decimation_l16
  5547. //@apireg:0xaddr 0X8800 | (((0X2E&0XFF) << 2) | ((0X2E&0X100) << 6))
  5548. 9'H02E : pro_read_wreg_data <= la_decimation_l16[15:0] ;
  5549. //@apireg:group:title LA
  5550. //@apireg:title LA_DECIMATION_M16
  5551. //@apireg:software:name DecimationM16
  5552. //@apireg:value:appoint bit-width:16 ; 16bit
  5553. //@apireg:desc abs-addr:0X88BC; 抽取比的中16位,抽取比取值范围为1~10_000_000_000,,,,
  5554. //@apireg:note reg_hw_name:la_decimation_m16
  5555. //@apireg:0xaddr 0X8800 | (((0X2F&0XFF) << 2) | ((0X2F&0X100) << 6))
  5556. 9'H02F : pro_read_wreg_data <= la_decimation_m16[15:0] ;
  5557. //@apireg:group:title LA
  5558. //@apireg:title LA_PROG_FULL_THRESH_HIGH
  5559. //@apireg:software:name FIFODepthH
  5560. //@apireg:value:appoint bit-width:16 ; 5bit
  5561. //@apireg:desc abs-addr:0X88C0; la模块FIFO可编程满深度的高5位,FIFO可编程满深度的最大为1024,,,,
  5562. //@apireg:note reg_hw_name:la_prog_full_thresh_high
  5563. //@apireg:0xaddr 0X8800 | (((0X30&0XFF) << 2) | ((0X30&0X100) << 6))
  5564. 9'H030 : pro_read_wreg_data <= la_prog_full_thresh_high[15:0];
  5565. //@apireg:group:title LA
  5566. //@apireg:title LA_PROG_FULL_THRESH_LOW
  5567. //@apireg:software:name FIFODepthL
  5568. //@apireg:value:appoint bit-width:16 ; 16bit
  5569. //@apireg:desc abs-addr:0X88C4; la模块FIFO可编程满深度的低16位,FIFO可编程满深度的最大为1024,,,,
  5570. //@apireg:note reg_hw_name:la_prog_full_thresh_low
  5571. //@apireg:0xaddr 0X8800 | (((0X31&0XFF) << 2) | ((0X31&0X100) << 6))
  5572. 9'H031 : pro_read_wreg_data <= la_prog_full_thresh_low[15:0];
  5573. //@apireg:group:title LA
  5574. //@apireg:title LA_GTX_RDY
  5575. //@apireg:software:name GtxReady
  5576. //@apireg:value:appoint bit-width:1 ; 1bit,上升沿有效
  5577. //@apireg:desc abs-addr:0X88C8; 初始化时复位一次; 深机箱:控制Iserdese的 bitslip控制信号,高电平有效,,,,
  5578. //@apireg:note reg_hw_name:la_gtx_rdy
  5579. //@apireg:0xaddr 0X8800 | (((0X32&0XFF) << 2) | ((0X32&0X100) << 6))
  5580. 9'H032 : pro_read_wreg_data <= {{15{1'B0}},la_gtx_rdy[0:0]};
  5581. //@apireg:group:title LA
  5582. //@apireg:title LA_GTX_RESET
  5583. //@apireg:software:name GtxReset
  5584. //@apireg:value:appoint bit-width:1 ; 1bit,下降沿有效
  5585. //@apireg:desc abs-addr:0X88CC; 初始化时复位一次,,,,
  5586. //@apireg:note reg_hw_name:la_gtx_reset
  5587. //@apireg:0xaddr 0X8800 | (((0X33&0XFF) << 2) | ((0X33&0X100) << 6))
  5588. 9'H033 : pro_read_wreg_data <= {{15{1'B0}},la_gtx_reset[0:0]};
  5589. //@apireg:group:title LA
  5590. //@apireg:title LA_SAMPLE_MODE
  5591. //@apireg:software:name InterpolationMode
  5592. //@apireg:value:appoint bit-width:8 ; 4bit
  5593. //@apireg:desc abs-addr:0X88D0; 插值模式,固定发送0b1000,,,,
  5594. //@apireg:note reg_hw_name:la_sample_mode
  5595. //@apireg:0xaddr 0X8800 | (((0X34&0XFF) << 2) | ((0X34&0X100) << 6))
  5596. 9'H034 : pro_read_wreg_data <= {{8{1'B0}},la_sample_mode[7:0]};
  5597. //@apireg:group:title LA
  5598. //@apireg:title LA_DDR_EN
  5599. //@apireg:software:name IsDDRMode
  5600. //@apireg:value:appoint bit-width:1 ; 1bit,0为普通存储,1为ddr存储
  5601. //@apireg:desc abs-addr:0X88D4; 存储模式选择,,,,
  5602. //@apireg:note reg_hw_name:la_ddr_en
  5603. //@apireg:0xaddr 0X8800 | (((0X35&0XFF) << 2) | ((0X35&0X100) << 6))
  5604. 9'H035 : pro_read_wreg_data <= {{15{1'B0}},la_ddr_en[0:0]};
  5605. //@apireg:group:title LA
  5606. //@apireg:title V7_LA_EN
  5607. //@apireg:software:name PowerCtrl
  5608. //@apireg:value:appoint bit-width:3 ; 深机箱用。3bit,000:断电,111:通电
  5609. //@apireg:desc abs-addr:0X88D8; 给LA板子供电,给3块LA板供电,需要同时控制,,,,
  5610. //@apireg:note reg_hw_name:v7_la_en
  5611. //@apireg:0xaddr 0X8800 | (((0X36&0XFF) << 2) | ((0X36&0X100) << 6))
  5612. 9'H036 : pro_read_wreg_data <= {{13{1'B0}},v7_la_en[2:0]};
  5613. //@apireg:group:title LA
  5614. //@apireg:title LA_SOFT_RESET
  5615. //@apireg:software:name SoftReset
  5616. //@apireg:value:appoint bit-width:1 ; 1bit,下降沿有效
  5617. //@apireg:desc abs-addr:0X88DC; gt的时钟的复位信号。先复位SoftReset,再复位GtxReset,最后复位GtxReady。SoftReset和GtxReset之间没有延迟时间要求,但需要保证顺序正确,GtxReset和GtxReady之间手册中要求不低于500ns的延迟,,,,
  5618. //@apireg:note reg_hw_name:la_soft_reset
  5619. //@apireg:0xaddr 0X8800 | (((0X37&0XFF) << 2) | ((0X37&0X100) << 6))
  5620. 9'H037 : pro_read_wreg_data <= {{15{1'B0}},la_soft_reset[0:0]};
  5621. //@apireg:group:title LA
  5622. //@apireg:title LA_TRIG_EDGE_SEL
  5623. //@apireg:software:name TrigEdgeSel
  5624. //@apireg:value:appoint bit-width:2 ; 2bit,低位:1:上升沿触发 0:下降沿触发; 高位:1:选择la触发信号,0:选择时域触发信号
  5625. //@apireg:desc abs-addr:0X88E0; 边沿触发选择。,,,,
  5626. //@apireg:note reg_hw_name:la_trig_edge_sel
  5627. //@apireg:0xaddr 0X8800 | (((0X38&0XFF) << 2) | ((0X38&0X100) << 6))
  5628. 9'H038 : pro_read_wreg_data <= {{14{1'B0}},la_trig_edge_sel[1:0]};
  5629. //@apireg:group:title LA
  5630. //@apireg:title LA_TRIG_NUM
  5631. //@apireg:software:name TrigSourceSel
  5632. //@apireg:value:appoint bit-width:16 ; 16bit
  5633. //@apireg:desc abs-addr:0X88E4; LA触发源数据选择,自然数序列,16通道时数据范围为1~16,48路时数据范围为1~48,,,,
  5634. //@apireg:note reg_hw_name:la_trig_num
  5635. //@apireg:0xaddr 0X8800 | (((0X39&0XFF) << 2) | ((0X39&0X100) << 6))
  5636. 9'H039 : pro_read_wreg_data <= la_trig_num[15:0] ;
  5637. //@apireg:group:title LA
  5638. //@apireg:title PC_DDR3_UI_RST_N_LA
  5639. //@apireg:software:name DdrUiReset
  5640. //@apireg:value:appoint bit-width:1 ; ddr3 ui复位,低有效
  5641. //@apireg:desc abs-addr:0X8B48; none
  5642. //@apireg:note reg_hw_name:pc_ddr3_ui_rst_n_la
  5643. //@apireg:0xaddr 0X8800 | (((0XD2&0XFF) << 2) | ((0XD2&0X100) << 6))
  5644. 9'H0D2 : pro_read_wreg_data <= {{15{1'B0}},pc_ddr3_ui_rst_n_la[0:0]};
  5645. //@apireg:group:title LA
  5646. //@apireg:title PC_DDR3_FIFO_WEN
  5647. //@apireg:software:name DdrWriteEnable
  5648. //@apireg:value:appoint bit-width:1 ; ddr3控制器的写使能,1为打开,0为关闭
  5649. //@apireg:desc abs-addr:0X8B4C; none
  5650. //@apireg:note reg_hw_name:pc_ddr3_fifo_wen
  5651. //@apireg:0xaddr 0X8800 | (((0XD3&0XFF) << 2) | ((0XD3&0X100) << 6))
  5652. 9'H0D3 : pro_read_wreg_data <= {{15{1'B0}},pc_ddr3_fifo_wen[0:0]};
  5653. //@apireg:group:title LA
  5654. //@apireg:title PC_WR_ADDR_SEGMENT_H
  5655. //@apireg:software:name DdrWriteStartAddrH
  5656. //@apireg:value:appoint bit-width:16 ; 写初始地址高13位
  5657. //@apireg:desc abs-addr:0X8B50; none
  5658. //@apireg:note reg_hw_name:pc_wr_addr_segment_h
  5659. //@apireg:0xaddr 0X8800 | (((0XD4&0XFF) << 2) | ((0XD4&0X100) << 6))
  5660. 9'H0D4 : pro_read_wreg_data <= pc_wr_addr_segment_h[15:0];
  5661. //@apireg:group:title LA
  5662. //@apireg:title PC_WR_ADDR_SEGMENT_L
  5663. //@apireg:software:name DdrWriteStartAddrL
  5664. //@apireg:value:appoint bit-width:16 ; 写初始地址低16位
  5665. //@apireg:desc abs-addr:0X8B54; none
  5666. //@apireg:note reg_hw_name:pc_wr_addr_segment_l
  5667. //@apireg:0xaddr 0X8800 | (((0XD5&0XFF) << 2) | ((0XD5&0X100) << 6))
  5668. 9'H0D5 : pro_read_wreg_data <= pc_wr_addr_segment_l[15:0];
  5669. //@apireg:group:title LA
  5670. //@apireg:title PC_WR_CTRL_DEPTH_H
  5671. //@apireg:software:name DdrWriteAddrLengthH
  5672. //@apireg:value:appoint bit-width:16 ; 写数据的存储长度高12位
  5673. //@apireg:desc abs-addr:0X8B58; none
  5674. //@apireg:note reg_hw_name:pc_wr_ctrl_depth_h
  5675. //@apireg:0xaddr 0X8800 | (((0XD6&0XFF) << 2) | ((0XD6&0X100) << 6))
  5676. 9'H0D6 : pro_read_wreg_data <= pc_wr_ctrl_depth_h[15:0] ;
  5677. //@apireg:group:title LA
  5678. //@apireg:title PC_WR_CTRL_DEPTH_L
  5679. //@apireg:software:name DdrWriteAddrLengthL
  5680. //@apireg:value:appoint bit-width:16 ; 写数据的存储长度低16位
  5681. //@apireg:desc abs-addr:0X8B5C; none
  5682. //@apireg:note reg_hw_name:pc_wr_ctrl_depth_l
  5683. //@apireg:0xaddr 0X8800 | (((0XD7&0XFF) << 2) | ((0XD7&0X100) << 6))
  5684. 9'H0D7 : pro_read_wreg_data <= pc_wr_ctrl_depth_l[15:0] ;
  5685. //@apireg:group:title LA
  5686. //@apireg:title PC_WR_PRE_SEPTH_H
  5687. //@apireg:software:name DdrWritePreDepthH
  5688. //@apireg:value:appoint bit-width:16 ; 写数据的预触发深度高12位
  5689. //@apireg:desc abs-addr:0X8B60; none
  5690. //@apireg:note reg_hw_name:pc_wr_pre_septh_h
  5691. //@apireg:0xaddr 0X8800 | (((0XD8&0XFF) << 2) | ((0XD8&0X100) << 6))
  5692. 9'H0D8 : pro_read_wreg_data <= pc_wr_pre_septh_h[15:0] ;
  5693. //@apireg:group:title LA
  5694. //@apireg:title PC_WR_PRE_SEPTH_L
  5695. //@apireg:software:name DdrWritePreDepthL
  5696. //@apireg:value:appoint bit-width:16 ; 写数据的预触发深度低16位
  5697. //@apireg:desc abs-addr:0X8B64; none
  5698. //@apireg:note reg_hw_name:pc_wr_pre_septh_l
  5699. //@apireg:0xaddr 0X8800 | (((0XD9&0XFF) << 2) | ((0XD9&0X100) << 6))
  5700. 9'H0D9 : pro_read_wreg_data <= pc_wr_pre_septh_l[15:0] ;
  5701. //@apireg:group:title LA
  5702. //@apireg:title PC_DDR3_REN_LA
  5703. //@apireg:software:name DdrReadEnable
  5704. //@apireg:value:appoint bit-width:1 ; ddr3控制器的读使能,1为打开,0为关闭
  5705. //@apireg:desc abs-addr:0X8B68; none
  5706. //@apireg:note reg_hw_name:pc_ddr3_ren_la
  5707. //@apireg:0xaddr 0X8800 | (((0XDA&0XFF) << 2) | ((0XDA&0X100) << 6))
  5708. 9'H0DA : pro_read_wreg_data <= {{15{1'B0}},pc_ddr3_ren_la[0:0]};
  5709. //@apireg:group:title LA
  5710. //@apireg:title PC_RD_LENGTH_H
  5711. //@apireg:software:name DdrReadAddrLengthH
  5712. //@apireg:value:appoint bit-width:16 ; 读数据地址个数高12位
  5713. //@apireg:desc abs-addr:0X8B6C; none
  5714. //@apireg:note reg_hw_name:pc_rd_length_h
  5715. //@apireg:0xaddr 0X8800 | (((0XDB&0XFF) << 2) | ((0XDB&0X100) << 6))
  5716. 9'H0DB : pro_read_wreg_data <= pc_rd_length_h[15:0] ;
  5717. //@apireg:group:title LA
  5718. //@apireg:title PC_RD_LENGTH_L
  5719. //@apireg:software:name DdrReadAddrLengthL
  5720. //@apireg:value:appoint bit-width:16 ; 读数据地址个数低16位
  5721. //@apireg:desc abs-addr:0X8B70; none
  5722. //@apireg:note reg_hw_name:pc_rd_length_l
  5723. //@apireg:0xaddr 0X8800 | (((0XDC&0XFF) << 2) | ((0XDC&0X100) << 6))
  5724. 9'H0DC : pro_read_wreg_data <= pc_rd_length_l[15:0] ;
  5725. //@apireg:group:title LA
  5726. //@apireg:title PC_RD_BEGIN_ADDR_H
  5727. //@apireg:software:name DdrReadBeginAddrH
  5728. //@apireg:value:appoint bit-width:16 ; 读数据地址中的第一个地址高13位(可能是从数据存储段的中间开始读)
  5729. //@apireg:desc abs-addr:0X8B74; none
  5730. //@apireg:note reg_hw_name:pc_rd_begin_addr_h
  5731. //@apireg:0xaddr 0X8800 | (((0XDD&0XFF) << 2) | ((0XDD&0X100) << 6))
  5732. 9'H0DD : pro_read_wreg_data <= pc_rd_begin_addr_h[15:0] ;
  5733. //@apireg:group:title LA
  5734. //@apireg:title PC_RD_BEGIN_ADDR_L
  5735. //@apireg:software:name DdrReadBeginAddL
  5736. //@apireg:value:appoint bit-width:16 ; 读数据地址中的第一个地址低16位(可能是从数据存储段的中间开始读)
  5737. //@apireg:desc abs-addr:0X8B78; none
  5738. //@apireg:note reg_hw_name:pc_rd_begin_addr_l
  5739. //@apireg:0xaddr 0X8800 | (((0XDE&0XFF) << 2) | ((0XDE&0X100) << 6))
  5740. 9'H0DE : pro_read_wreg_data <= pc_rd_begin_addr_l[15:0] ;
  5741. //@apireg:group:title LA
  5742. //@apireg:title PC_RD_SEGMENT_BEGIN_ADDR_H
  5743. //@apireg:software:name DdrSegmentStartAddrH
  5744. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的起始地址高13位
  5745. //@apireg:desc abs-addr:0X8B7C; none
  5746. //@apireg:note reg_hw_name:pc_rd_segment_begin_addr_h
  5747. //@apireg:0xaddr 0X8800 | (((0XDF&0XFF) << 2) | ((0XDF&0X100) << 6))
  5748. 9'H0DF : pro_read_wreg_data <= pc_rd_segment_begin_addr_h[15:0];
  5749. //@apireg:group:title LA
  5750. //@apireg:title PC_RD_SEGMENT_BEGIN_ADDR_L
  5751. //@apireg:software:name DdrSegmentStartAddrL
  5752. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的起始地址低16位
  5753. //@apireg:desc abs-addr:0X8B80; none
  5754. //@apireg:note reg_hw_name:pc_rd_segment_begin_addr_l
  5755. //@apireg:0xaddr 0X8800 | (((0XE0&0XFF) << 2) | ((0XE0&0X100) << 6))
  5756. 9'H0E0 : pro_read_wreg_data <= pc_rd_segment_begin_addr_l[15:0];
  5757. //@apireg:group:title LA
  5758. //@apireg:title PC_RD_CTRL_DEPTH_H
  5759. //@apireg:software:name DdrSegmentAddrLengthH
  5760. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的存储深度高12位
  5761. //@apireg:desc abs-addr:0X8B84; none
  5762. //@apireg:note reg_hw_name:pc_rd_ctrl_depth_h
  5763. //@apireg:0xaddr 0X8800 | (((0XE1&0XFF) << 2) | ((0XE1&0X100) << 6))
  5764. 9'H0E1 : pro_read_wreg_data <= pc_rd_ctrl_depth_h[15:0] ;
  5765. //@apireg:group:title LA
  5766. //@apireg:title PC_RD_CTRL_DEPTH_L
  5767. //@apireg:software:name DdrSegmentAddrLengthL
  5768. //@apireg:value:appoint bit-width:16 ; 读数据对应存储段的存储深度低16位
  5769. //@apireg:desc abs-addr:0X8B88; none
  5770. //@apireg:note reg_hw_name:pc_rd_ctrl_depth_l
  5771. //@apireg:0xaddr 0X8800 | (((0XE2&0XFF) << 2) | ((0XE2&0X100) << 6))
  5772. 9'H0E2 : pro_read_wreg_data <= pc_rd_ctrl_depth_l[15:0] ;
  5773. //@apireg:group:title LA
  5774. //@apireg:title PC_MIG_SYS_RST_N
  5775. //@apireg:software:name DdrMigReset
  5776. //@apireg:value:appoint bit-width:1 ; ddr3 mig复位,低有效
  5777. //@apireg:desc abs-addr:0X8B98; none
  5778. //@apireg:note reg_hw_name:pc_mig_sys_rst_n
  5779. //@apireg:0xaddr 0X8800 | (((0XE6&0XFF) << 2) | ((0XE6&0X100) << 6))
  5780. 9'H0E6 : pro_read_wreg_data <= {{15{1'B0}},pc_mig_sys_rst_n[0:0]};
  5781. //@apireg:group:title LA
  5782. //@apireg:title LA_DDR3_PK_DECIMATION
  5783. //@apireg:software:name DdrPkDecimationHd
  5784. //@apireg:value:appoint bit-width:16 ; ddr3 后抽抽取比高16位
  5785. //@apireg:desc abs-addr:0X8B9C; none
  5786. //@apireg:note reg_hw_name:la_ddr3_pk_decimation
  5787. //@apireg:0xaddr 0X8800 | (((0XE7&0XFF) << 2) | ((0XE7&0X100) << 6))
  5788. 9'H0E7 : pro_read_wreg_data <= la_ddr3_pk_decimation[15:0];
  5789. //@apireg:group:title LA
  5790. //@apireg:title LA_DDR3_PK_MODE
  5791. //@apireg:software:name DdrPkMode
  5792. //@apireg:value:appoint bit-width:16 ; ddr3 后抽抽取比低16位
  5793. //@apireg:desc abs-addr:0X8BA0; none
  5794. //@apireg:note reg_hw_name:la_ddr3_pk_mode
  5795. //@apireg:0xaddr 0X8800 | (((0XE8&0XFF) << 2) | ((0XE8&0X100) << 6))
  5796. 9'H0E8 : pro_read_wreg_data <= la_ddr3_pk_mode[15:0] ;
  5797. //@apireg:group:title LA
  5798. //@apireg:title LA_GTRXCDRHOLD
  5799. //@apireg:software:name GTRXCDRHOLD
  5800. //@apireg:value:appoint bit-width:1 ; 1bit,界面可控,初始值0;la数据稳定后,可在界面上设置为1
  5801. //@apireg:desc abs-addr:0XC960; 初始化时复位一次,,,,
  5802. //@apireg:note reg_hw_name:la_gtrxcdrhold
  5803. //@apireg:0xaddr 0X8800 | (((0X158&0XFF) << 2) | ((0X158&0X100) << 6))
  5804. 9'H158 : pro_read_wreg_data <= {{15{1'B0}},la_gtrxcdrhold[0:0]};
  5805. //@apireg:group:title LA
  5806. //@apireg:title SOFT_NORMAL_DISCARD_NUM
  5807. //@apireg:software:name SoftNormalDiscardNum
  5808. //@apireg:value:appoint bit-width:8 ; 时域一级触发软件丢点值
  5809. //@apireg:desc abs-addr:0XC97C; none
  5810. //@apireg:note reg_hw_name:soft_normal_discard_num
  5811. //@apireg:0xaddr 0X8800 | (((0X15F&0XFF) << 2) | ((0X15F&0X100) << 6))
  5812. 9'H15F : pro_read_wreg_data <= {{8{1'B0}},soft_normal_discard_num[7:0]};
  5813. //@apireg:group:title LA
  5814. //@apireg:title INTER_MULTIPLE
  5815. //@apireg:software:name InterMultiple
  5816. //@apireg:value:appoint bit-width:9 ; 插值倍数
  5817. //@apireg:desc abs-addr:0XC980; none
  5818. //@apireg:note reg_hw_name:inter_multiple
  5819. //@apireg:0xaddr 0X8800 | (((0X160&0XFF) << 2) | ((0X160&0X100) << 6))
  5820. 9'H160 : pro_read_wreg_data <= {{7{1'B0}},inter_multiple[8:0]};
  5821. //@apireg:group:title LA
  5822. //@apireg:title NORMAL_INTERPOLATION_SET
  5823. //@apireg:software:name NormalInterpolationSet
  5824. //@apireg:value:appoint bit-width:3 ; 是否为插值档 1:插值;0:非插值
  5825. //@apireg:desc abs-addr:0XC984; none
  5826. //@apireg:note reg_hw_name:normal_interpolation_set
  5827. //@apireg:0xaddr 0X8800 | (((0X161&0XFF) << 2) | ((0X161&0X100) << 6))
  5828. 9'H161 : pro_read_wreg_data <= {{13{1'B0}},normal_interpolation_set[2:0]};
  5829. //@apireg:group:title LA
  5830. //@apireg:title TRIG_MODULE_LA_TRIG_PREDEPTH_SET_H16
  5831. //@apireg:software:name LaTrigPredepthSetH
  5832. //@apireg:value:appoint bit-width:16 ; la一级预触发深度高16bit
  5833. //@apireg:desc abs-addr:0XC988; none
  5834. //@apireg:note reg_hw_name:trig_module_la_trig_predepth_set_h16
  5835. //@apireg:0xaddr 0X8800 | (((0X162&0XFF) << 2) | ((0X162&0X100) << 6))
  5836. 9'H162 : pro_read_wreg_data <= trig_module_la_trig_predepth_set_h16[15:0];
  5837. //@apireg:group:title LA
  5838. //@apireg:title TRIG_MODULE_LA_TRIG_PREDEPTH_SET_L16
  5839. //@apireg:software:name LaTrigPredepthSetL
  5840. //@apireg:value:appoint bit-width:16 ; la一级预触发深度低16bit
  5841. //@apireg:desc abs-addr:0XC98C; none
  5842. //@apireg:note reg_hw_name:trig_module_la_trig_predepth_set_l16
  5843. //@apireg:0xaddr 0X8800 | (((0X163&0XFF) << 2) | ((0X163&0X100) << 6))
  5844. 9'H163 : pro_read_wreg_data <= trig_module_la_trig_predepth_set_l16[15:0];
  5845. //@apireg:group:title LA
  5846. //@apireg:title TRIG_MODULE_LA_TRIG_PREDEPTH_SET_M16
  5847. //@apireg:software:name LaTrigPredepthSetM
  5848. //@apireg:value:appoint bit-width:16 ; la一级预触发深度中16bit
  5849. //@apireg:desc abs-addr:0XC990; none
  5850. //@apireg:note reg_hw_name:trig_module_la_trig_predepth_set_m16
  5851. //@apireg:0xaddr 0X8800 | (((0X164&0XFF) << 2) | ((0X164&0X100) << 6))
  5852. 9'H164 : pro_read_wreg_data <= trig_module_la_trig_predepth_set_m16[15:0];
  5853. //@apireg:group:title LA
  5854. //@apireg:title LA_POST_INTER_EN
  5855. //@apireg:software:name la_post_inter_en_dbi20g
  5856. //@apireg:value:appoint bit-width:1 ; la插值使能 1:开 ;0:关
  5857. //@apireg:desc abs-addr:0XC994; none
  5858. //@apireg:note reg_hw_name:la_post_inter_en
  5859. //@apireg:0xaddr 0X8800 | (((0X165&0XFF) << 2) | ((0X165&0X100) << 6))
  5860. 9'H165 : pro_read_wreg_data <= {{15{1'B0}},la_post_inter_en[0:0]};
  5861. //@apireg:group:title LA
  5862. //@apireg:title PC_WR_POS_DEPTH_H
  5863. //@apireg:software:name DdrWritePosDepthH
  5864. //@apireg:value:appoint bit-width:16 ; 写数据的后触发深度高16位
  5865. //@apireg:desc abs-addr:0XC998; none
  5866. //@apireg:note reg_hw_name:pc_wr_pos_depth_h
  5867. //@apireg:0xaddr 0X8800 | (((0X166&0XFF) << 2) | ((0X166&0X100) << 6))
  5868. 9'H166 : pro_read_wreg_data <= pc_wr_pos_depth_h[15:0] ;
  5869. //@apireg:group:title LA
  5870. //@apireg:title PC_WR_POS_DEPTH_L
  5871. //@apireg:software:name DdrWritePosDepthL
  5872. //@apireg:value:appoint bit-width:16 ; 写数据的后触发深度低16位
  5873. //@apireg:desc abs-addr:0XC99C; none
  5874. //@apireg:note reg_hw_name:pc_wr_pos_depth_l
  5875. //@apireg:0xaddr 0X8800 | (((0X167&0XFF) << 2) | ((0X167&0X100) << 6))
  5876. 9'H167 : pro_read_wreg_data <= pc_wr_pos_depth_l[15:0] ;
  5877. //@apireg:group:title LA
  5878. //@apireg:title PC_FINE_H16
  5879. //@apireg:software:name PcFineH
  5880. //@apireg:value:appoint bit-width:16 ; ms2g:0.1浮点数下发高16位
  5881. //@apireg:desc abs-addr:0XC9A0; none
  5882. //@apireg:note reg_hw_name:pc_fine_h16
  5883. //@apireg:0xaddr 0X8800 | (((0X168&0XFF) << 2) | ((0X168&0X100) << 6))
  5884. 9'H168 : pro_read_wreg_data <= pc_fine_h16[15:0] ;
  5885. //@apireg:group:title LA
  5886. //@apireg:title PC_FINE_L16
  5887. //@apireg:software:name PcFineL
  5888. //@apireg:value:appoint bit-width:16 ; ms2g:0.1浮点数下发低16位
  5889. //@apireg:desc abs-addr:0XC9A4; none
  5890. //@apireg:note reg_hw_name:pc_fine_l16
  5891. //@apireg:0xaddr 0X8800 | (((0X169&0XFF) << 2) | ((0X169&0X100) << 6))
  5892. 9'H169 : pro_read_wreg_data <= pc_fine_l16[15:0] ;
  5893. //@apireg:group:title LSCtrl
  5894. //@apireg:title DDR_FAST_TRANS_CH_SEL
  5895. //@apireg:software:name FastChSelect
  5896. //@apireg:value:appoint bit-width:8 ; 快传选择要传输的数据模拟通道,0对于ch1,1对于ch2,以此类推
  5897. //@apireg:desc abs-addr:0X88E8; none
  5898. //@apireg:note reg_hw_name:ddr_fast_trans_ch_sel
  5899. //@apireg:0xaddr 0X8800 | (((0X3A&0XFF) << 2) | ((0X3A&0X100) << 6))
  5900. 9'H03A : pro_read_wreg_data <= {{8{1'B0}},ddr_fast_trans_ch_sel[7:0]};
  5901. //@apireg:group:title LSCtrl
  5902. //@apireg:title FAST_EN
  5903. //@apireg:software:name Enable
  5904. //@apireg:value:appoint bit-width:1 ; 1bit,0:normal,1:ddr
  5905. //@apireg:desc abs-addr:0X88EC; ddr模式开关 ,0为普通模式,1为ddr模式,,,,
  5906. //@apireg:note reg_hw_name:fast_en
  5907. //@apireg:0xaddr 0X8800 | (((0X3B&0XFF) << 2) | ((0X3B&0X100) << 6))
  5908. 9'H03B : pro_read_wreg_data <= {{15{1'B0}},fast_en[0:0]};
  5909. //@apireg:group:title LSCtrl
  5910. //@apireg:title PC_DDR_PRO_FAST_TRANS_EN
  5911. //@apireg:software:name pc_ddr_pro_fast_trans_en
  5912. //@apireg:value:appoint bit-width:1 ; 1bit,1:active
  5913. //@apireg:desc abs-addr:0X88F0; 发1选择处理板快速传输链路,用于传原始采样点、波形搜索结果、触发地址,,,,
  5914. //@apireg:note reg_hw_name:pc_ddr_pro_fast_trans_en
  5915. //@apireg:0xaddr 0X8800 | (((0X3C&0XFF) << 2) | ((0X3C&0X100) << 6))
  5916. 9'H03C : pro_read_wreg_data <= {{15{1'B0}},pc_ddr_pro_fast_trans_en[0:0]};
  5917. //@apireg:group:title FIFO
  5918. //@apireg:title PRO_DATA_FD_FIFO_EMPTY_THRESH
  5919. //@apireg:software:name FIFOProgEmptyThresh
  5920. //@apireg:value:appoint bit-width:14 ; 14bits,与stft步进有关
  5921. //@apireg:desc abs-addr:0X88F4; none
  5922. //@apireg:note reg_hw_name:pro_data_fd_fifo_empty_thresh
  5923. //@apireg:0xaddr 0X8800 | (((0X3D&0XFF) << 2) | ((0X3D&0X100) << 6))
  5924. 9'H03D : pro_read_wreg_data <= {{2{1'B0}},pro_data_fd_fifo_empty_thresh[13:0]};
  5925. //@apireg:group:title FIFO
  5926. //@apireg:title PRO_DATA_FD_FIFO_FULL_THRESH
  5927. //@apireg:software:name FIFOProgFullThresh
  5928. //@apireg:value:appoint bit-width:14 ; 14bits,最大16384
  5929. //@apireg:desc abs-addr:0X88F8; none
  5930. //@apireg:note reg_hw_name:pro_data_fd_fifo_full_thresh
  5931. //@apireg:0xaddr 0X8800 | (((0X3E&0XFF) << 2) | ((0X3E&0X100) << 6))
  5932. 9'H03E : pro_read_wreg_data <= {{2{1'B0}},pro_data_fd_fifo_full_thresh[13:0]};
  5933. //@apireg:group:title STFT
  5934. //@apireg:title MD8G_PRO_DATA_CHOOSE
  5935. //@apireg:software:name DataChoose
  5936. //@apireg:value:appoint bit-width:8 ; 4bit,bit3表示频域或时域数据选择,0表示时域,1表示频域;bit2-0表示选择模拟通道
  5937. //@apireg:desc abs-addr:0X88FC; none
  5938. //@apireg:note reg_hw_name:md8g_pro_data_choose
  5939. //@apireg:0xaddr 0X8800 | (((0X3F&0XFF) << 2) | ((0X3F&0X100) << 6))
  5940. 9'H03F : pro_read_wreg_data <= {{8{1'B0}},md8g_pro_data_choose[7:0]};
  5941. //@apireg:group:title STFT
  5942. //@apireg:title COEFFICIENT_DATAIN_H16
  5943. //@apireg:software:name CoefficientDataInH16
  5944. //@apireg:value:appoint bit-width:16 ; 16bits,窗函数系数高16位
  5945. //@apireg:desc abs-addr:0X8900; none
  5946. //@apireg:note reg_hw_name:coefficient_datain_h16
  5947. //@apireg:0xaddr 0X8800 | (((0X40&0XFF) << 2) | ((0X40&0X100) << 6))
  5948. 9'H040 : pro_read_wreg_data <= coefficient_datain_h16[15:0];
  5949. //@apireg:group:title STFT
  5950. //@apireg:title COEFFICIENT_DATAIN_L16
  5951. //@apireg:software:name CoefficientDataInL16
  5952. //@apireg:value:appoint bit-width:16 ; 16bits,窗函数系数低16位
  5953. //@apireg:desc abs-addr:0X8904; none
  5954. //@apireg:note reg_hw_name:coefficient_datain_l16
  5955. //@apireg:0xaddr 0X8800 | (((0X41&0XFF) << 2) | ((0X41&0X100) << 6))
  5956. 9'H041 : pro_read_wreg_data <= coefficient_datain_l16[15:0];
  5957. //@apireg:group:title STFT
  5958. //@apireg:title COEFFICIENT_DATA_WREN
  5959. //@apireg:software:name CoefficientDataWREN
  5960. //@apireg:value:appoint bit-width:1 ; 1bit,窗函数写使能
  5961. //@apireg:desc abs-addr:0X8908; none
  5962. //@apireg:note reg_hw_name:coefficient_data_wren
  5963. //@apireg:0xaddr 0X8800 | (((0X42&0XFF) << 2) | ((0X42&0X100) << 6))
  5964. 9'H042 : pro_read_wreg_data <= {{15{1'B0}},coefficient_data_wren[0:0]};
  5965. //@apireg:group:title STFT
  5966. //@apireg:title FFT_CONFIG_START
  5967. //@apireg:software:name FFTConfigStart
  5968. //@apireg:value:appoint bit-width:1 ; 1bit, fft核开始配置
  5969. //@apireg:desc abs-addr:0X890C; none
  5970. //@apireg:note reg_hw_name:fft_config_start
  5971. //@apireg:0xaddr 0X8800 | (((0X43&0XFF) << 2) | ((0X43&0X100) << 6))
  5972. 9'H043 : pro_read_wreg_data <= {{15{1'B0}},fft_config_start[0:0]};
  5973. //@apireg:group:title STFT
  5974. //@apireg:title FFT_PARAM_DIR
  5975. //@apireg:software:name FFTParamDir
  5976. //@apireg:value:appoint bit-width:1 ; 1bit,为1时选择fft,为0时选择ifft
  5977. //@apireg:desc abs-addr:0X8910; none
  5978. //@apireg:note reg_hw_name:fft_param_dir
  5979. //@apireg:0xaddr 0X8800 | (((0X44&0XFF) << 2) | ((0X44&0X100) << 6))
  5980. 9'H044 : pro_read_wreg_data <= {{15{1'B0}},fft_param_dir[0:0]};
  5981. //@apireg:group:title STFT
  5982. //@apireg:title FFT_PARAM_NFFT
  5983. //@apireg:software:name FFTParamNFFT
  5984. //@apireg:value:appoint bit-width:5 ; 5bits,配置fft核的nfft,0~16;需和fft点数相对应
  5985. //@apireg:desc abs-addr:0X8914; none
  5986. //@apireg:note reg_hw_name:fft_param_nfft
  5987. //@apireg:0xaddr 0X8800 | (((0X45&0XFF) << 2) | ((0X45&0X100) << 6))
  5988. 9'H045 : pro_read_wreg_data <= {{11{1'B0}},fft_param_nfft[4:0]};
  5989. //@apireg:group:title STFT
  5990. //@apireg:title FFT_PARAM_POINTNUM
  5991. //@apireg:software:name FFTParamPointNum
  5992. //@apireg:value:appoint bit-width:14 ; 11bits,fft单轮运算点数
  5993. //@apireg:desc abs-addr:0X8918; none
  5994. //@apireg:note reg_hw_name:fft_param_pointnum
  5995. //@apireg:0xaddr 0X8800 | (((0X46&0XFF) << 2) | ((0X46&0X100) << 6))
  5996. 9'H046 : pro_read_wreg_data <= {{2{1'B0}},fft_param_pointnum[13:0]};
  5997. //@apireg:group:title STFT
  5998. //@apireg:title FFT_PARAM_SCALESCH
  5999. //@apireg:software:name FFTParamScaleSCH
  6000. //@apireg:value:appoint bit-width:16 ; 10bits,配置数据缩放比例;1024个点,radix-4,一共5级,每级2bit
  6001. //@apireg:desc abs-addr:0X891C; none
  6002. //@apireg:note reg_hw_name:fft_param_scalesch
  6003. //@apireg:0xaddr 0X8800 | (((0X47&0XFF) << 2) | ((0X47&0X100) << 6))
  6004. 9'H047 : pro_read_wreg_data <= fft_param_scalesch[15:0] ;
  6005. //@apireg:group:title STFT
  6006. //@apireg:title FFT_TIMES
  6007. //@apireg:software:name FFTTimes
  6008. //@apireg:value:appoint bit-width:8 ; 8bits,设置fft运算次数,最大为256
  6009. //@apireg:desc abs-addr:0X8920; none
  6010. //@apireg:note reg_hw_name:fft_times
  6011. //@apireg:0xaddr 0X8800 | (((0X48&0XFF) << 2) | ((0X48&0X100) << 6))
  6012. 9'H048 : pro_read_wreg_data <= {{8{1'B0}},fft_times[7:0]};
  6013. //@apireg:group:title STFT
  6014. //@apireg:title STFT_CALC_START
  6015. //@apireg:software:name STFTCalcStart
  6016. //@apireg:value:appoint bit-width:1 ; 1bit,fft开始运算
  6017. //@apireg:desc abs-addr:0X8924; none
  6018. //@apireg:note reg_hw_name:stft_calc_start
  6019. //@apireg:0xaddr 0X8800 | (((0X49&0XFF) << 2) | ((0X49&0X100) << 6))
  6020. 9'H049 : pro_read_wreg_data <= {{15{1'B0}},stft_calc_start[0:0]};
  6021. //@apireg:group:title STFT
  6022. //@apireg:title STFT_DATA_SELECT
  6023. //@apireg:software:name STFTDataSelect
  6024. //@apireg:value:appoint bit-width:4 ; 4bits,4'b0001:输入数据直接输出;4'b0010:fft处理后的im&re;4'b0100:fft处理后的amp&pha;4'b1000:输入数据i/q的amp&pha
  6025. //@apireg:desc abs-addr:0X8928; none
  6026. //@apireg:note reg_hw_name:stft_data_select
  6027. //@apireg:0xaddr 0X8800 | (((0X4A&0XFF) << 2) | ((0X4A&0X100) << 6))
  6028. 9'H04A : pro_read_wreg_data <= {{12{1'B0}},stft_data_select[3:0]};
  6029. //@apireg:group:title STFT
  6030. //@apireg:title STFT_STEP
  6031. //@apireg:software:name STFTStep
  6032. //@apireg:value:appoint bit-width:14 ; 7bits,设置fft运算的步进
  6033. //@apireg:desc abs-addr:0X892C; none
  6034. //@apireg:note reg_hw_name:stft_step
  6035. //@apireg:0xaddr 0X8800 | (((0X4B&0XFF) << 2) | ((0X4B&0X100) << 6))
  6036. 9'H04B : pro_read_wreg_data <= {{2{1'B0}},stft_step[13:0]};
  6037. //@apireg:group:title PowerManager
  6038. //@apireg:title ACQBOARDPOWERCTRL
  6039. //@apireg:software:name AcqBoardPowerCtrl
  6040. //@apireg:value:appoint bit-width:8 ; bit0:cpci1_power_load_en ; bit1:cpci1_fpga_load_en ; bit2:cpci2_power_load_en ; bit3:cpci2_fpga_load_en ; bit4:cpci3_power_load_en ; bit5:cpci3_fpga_load_en ; bit6:cpci4_power_load_en ; bit7:cpci4_fpga_load_en
  6041. //@apireg:desc abs-addr:0X8930; 00 断电, FF上电,,,,
  6042. //@apireg:note reg_hw_name:acqboardpowerctrl
  6043. //@apireg:0xaddr 0X8800 | (((0X4C&0XFF) << 2) | ((0X4C&0X100) << 6))
  6044. 9'H04C : pro_read_wreg_data <= {{8{1'B0}},acqboardpowerctrl[7:0]};
  6045. //@apireg:group:title RegMonitor
  6046. //@apireg:title PRO_READ_WREG_ADDR
  6047. //@apireg:software:name RegAddress
  6048. //@apireg:value:appoint bit-width:16 ; read back write-register
  6049. //@apireg:desc abs-addr:0X8934; 读回下发寄存器的值,,,,
  6050. //@apireg:note reg_hw_name:pro_read_wreg_addr
  6051. //@apireg:0xaddr 0X8800 | (((0X4D&0XFF) << 2) | ((0X4D&0X100) << 6))
  6052. 9'H04D : pro_read_wreg_data <= pro_read_wreg_addr[15:0] ;
  6053. //@apireg:group:title Scan
  6054. //@apireg:title SCAN_DATACOUNT_LATCH
  6055. //@apireg:software:name DatacountLatch
  6056. //@apireg:value:appoint bit-width:1 ; 0:关闭锁存 ; 1: 开启锁存
  6057. //@apireg:desc abs-addr:0X8938; 在上升沿进行数据计数值锁存,,,,
  6058. //@apireg:note reg_hw_name:scan_datacount_latch
  6059. //@apireg:0xaddr 0X8800 | (((0X4E&0XFF) << 2) | ((0X4E&0X100) << 6))
  6060. 9'H04E : pro_read_wreg_data <= {{15{1'B0}},scan_datacount_latch[0:0]};
  6061. //@apireg:group:title Scan
  6062. //@apireg:title SCAN_DATACOUNT_PASSBACK
  6063. //@apireg:software:name DatacountPassback
  6064. //@apireg:value:appoint bit-width:15 ; 15bit : 开启读使能标志 ; 低14bit:返回用于决定读取个数的当前datacount值
  6065. //@apireg:desc abs-addr:0X893C; 开启使能标志上升沿作为开启softfifo的ren标志,,,,
  6066. //@apireg:note reg_hw_name:scan_datacount_passback
  6067. //@apireg:0xaddr 0X8800 | (((0X4F&0XFF) << 2) | ((0X4F&0X100) << 6))
  6068. 9'H04F : pro_read_wreg_data <= {{1{1'B0}},scan_datacount_passback[14:0]};
  6069. //@apireg:group:title Scan
  6070. //@apireg:title PRO_SCAN_ENABLE
  6071. //@apireg:software:name ProScanEnable
  6072. //@apireg:value:appoint bit-width:1 ; 0:正常采集板传输模式 ; 1:scan模式开启
  6073. //@apireg:desc abs-addr:0X8940; none
  6074. //@apireg:note reg_hw_name:pro_scan_enable
  6075. //@apireg:0xaddr 0X8800 | (((0X50&0XFF) << 2) | ((0X50&0X100) << 6))
  6076. 9'H050 : pro_read_wreg_data <= {{15{1'B0}},pro_scan_enable[0:0]};
  6077. //@apireg:group:title SerdesSync
  6078. //@apireg:title PRO_ISERDES_SCAN_LENGTH
  6079. //@apireg:software:name pro_iserdes_scan_length
  6080. //@apireg:value:appoint bit-width:8 ; idelay的扫窗长度,扫窗确定合适延迟值后,需要达到扫窗长度的次数后才能确定改值有效,输出同步完成信号
  6081. //@apireg:desc abs-addr:0X8944; none
  6082. //@apireg:note reg_hw_name:pro_iserdes_scan_length
  6083. //@apireg:0xaddr 0X8800 | (((0X51&0XFF) << 2) | ((0X51&0X100) << 6))
  6084. 9'H051 : pro_read_wreg_data <= {{8{1'B0}},pro_iserdes_scan_length[7:0]};
  6085. //@apireg:group:title SerdesSync
  6086. //@apireg:title PRO_ISERDES_SYNC_EN
  6087. //@apireg:software:name pro_iserdes_sync_en
  6088. //@apireg:value:appoint bit-width:1 ; 处理板iserdes开始同步使能,边沿有效,上升沿开始同步,下降沿结束同步
  6089. //@apireg:desc abs-addr:0X8948; 板间通信同步使能,边沿有效,,,,
  6090. //@apireg:note reg_hw_name:pro_iserdes_sync_en
  6091. //@apireg:0xaddr 0X8800 | (((0X52&0XFF) << 2) | ((0X52&0X100) << 6))
  6092. 9'H052 : pro_read_wreg_data <= {{15{1'B0}},pro_iserdes_sync_en[0:0]};
  6093. //@apireg:group:title SerdesSync
  6094. //@apireg:title PRO_ISERDES_TAP_START
  6095. //@apireg:software:name pro_iserdes_TAP_start
  6096. //@apireg:value:appoint bit-width:5 ; idelay的扫窗延迟最小值
  6097. //@apireg:desc abs-addr:0X894C; none
  6098. //@apireg:note reg_hw_name:pro_iserdes_tap_start
  6099. //@apireg:0xaddr 0X8800 | (((0X53&0XFF) << 2) | ((0X53&0X100) << 6))
  6100. 9'H053 : pro_read_wreg_data <= {{11{1'B0}},pro_iserdes_tap_start[4:0]};
  6101. //@apireg:group:title SerdesSync
  6102. //@apireg:title PRO_ISERDES_TAP_STOP
  6103. //@apireg:software:name pro_iserdes_TAP_stop
  6104. //@apireg:value:appoint bit-width:5 ; idelay的扫窗延迟最大值
  6105. //@apireg:desc abs-addr:0X8950; none
  6106. //@apireg:note reg_hw_name:pro_iserdes_tap_stop
  6107. //@apireg:0xaddr 0X8800 | (((0X54&0XFF) << 2) | ((0X54&0X100) << 6))
  6108. 9'H054 : pro_read_wreg_data <= {{11{1'B0}},pro_iserdes_tap_stop[4:0]};
  6109. //@apireg:group:title SyncDataRxIDelay
  6110. //@apireg:title PRO_IN_DELAY_DATA_CE1
  6111. //@apireg:software:name CE1
  6112. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  6113. //@apireg:desc abs-addr:0X8954; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  6114. //@apireg:note reg_hw_name:pro_in_delay_data_ce1
  6115. //@apireg:0xaddr 0X8800 | (((0X55&0XFF) << 2) | ((0X55&0X100) << 6))
  6116. 9'H055 : pro_read_wreg_data <= {{15{1'B0}},pro_in_delay_data_ce1[0:0]};
  6117. //@apireg:group:title SyncDataRxIDelay
  6118. //@apireg:title PRO_IN_DELAY_DATA_CE3
  6119. //@apireg:software:name CE3
  6120. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  6121. //@apireg:desc abs-addr:0X8958; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  6122. //@apireg:note reg_hw_name:pro_in_delay_data_ce3
  6123. //@apireg:0xaddr 0X8800 | (((0X56&0XFF) << 2) | ((0X56&0X100) << 6))
  6124. 9'H056 : pro_read_wreg_data <= {{15{1'B0}},pro_in_delay_data_ce3[0:0]};
  6125. //@apireg:group:title SyncDataRxIDelay
  6126. //@apireg:title PRO_IN_DELAY_DATA_CE5
  6127. //@apireg:software:name CE5
  6128. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  6129. //@apireg:desc abs-addr:0X895C; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  6130. //@apireg:note reg_hw_name:pro_in_delay_data_ce5
  6131. //@apireg:0xaddr 0X8800 | (((0X57&0XFF) << 2) | ((0X57&0X100) << 6))
  6132. 9'H057 : pro_read_wreg_data <= {{15{1'B0}},pro_in_delay_data_ce5[0:0]};
  6133. //@apireg:group:title SyncDataRxIDelay
  6134. //@apireg:title PRO_IN_DELAY_DATA_CE7
  6135. //@apireg:software:name CE7
  6136. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  6137. //@apireg:desc abs-addr:0X8960; 上升沿使能IDelay模块的increment/decrement输入, ; 当IN_DELAY_RESET有效时,该使能无效,,,,
  6138. //@apireg:note reg_hw_name:pro_in_delay_data_ce7
  6139. //@apireg:0xaddr 0X8800 | (((0X58&0XFF) << 2) | ((0X58&0X100) << 6))
  6140. 9'H058 : pro_read_wreg_data <= {{15{1'B0}},pro_in_delay_data_ce7[0:0]};
  6141. //@apireg:group:title SyncDataRxIDelay
  6142. //@apireg:title PRO_CNTVALUEIN1
  6143. //@apireg:software:name Count1
  6144. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  6145. //@apireg:desc abs-addr:0X8964; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  6146. //@apireg:note reg_hw_name:pro_cntvaluein1
  6147. //@apireg:0xaddr 0X8800 | (((0X59&0XFF) << 2) | ((0X59&0X100) << 6))
  6148. 9'H059 : pro_read_wreg_data <= {{11{1'B0}},pro_cntvaluein1[4:0]};
  6149. //@apireg:group:title SyncDataRxIDelay
  6150. //@apireg:title PRO_CNTVALUEIN3
  6151. //@apireg:software:name Count3
  6152. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  6153. //@apireg:desc abs-addr:0X8968; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  6154. //@apireg:note reg_hw_name:pro_cntvaluein3
  6155. //@apireg:0xaddr 0X8800 | (((0X5A&0XFF) << 2) | ((0X5A&0X100) << 6))
  6156. 9'H05A : pro_read_wreg_data <= {{11{1'B0}},pro_cntvaluein3[4:0]};
  6157. //@apireg:group:title SyncDataRxIDelay
  6158. //@apireg:title PRO_CNTVALUEIN5
  6159. //@apireg:software:name Count5
  6160. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  6161. //@apireg:desc abs-addr:0X896C; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  6162. //@apireg:note reg_hw_name:pro_cntvaluein5
  6163. //@apireg:0xaddr 0X8800 | (((0X5B&0XFF) << 2) | ((0X5B&0X100) << 6))
  6164. 9'H05B : pro_read_wreg_data <= {{11{1'B0}},pro_cntvaluein5[4:0]};
  6165. //@apireg:group:title SyncDataRxIDelay
  6166. //@apireg:title PRO_CNTVALUEIN7
  6167. //@apireg:software:name Count7
  6168. //@apireg:value:appoint bit-width:5 ; 5bits,value_of_delay
  6169. //@apireg:desc abs-addr:0X8970; 延迟量设置,最大为31, ; 延迟量等于设置值×78ps,,,,
  6170. //@apireg:note reg_hw_name:pro_cntvaluein7
  6171. //@apireg:0xaddr 0X8800 | (((0X5C&0XFF) << 2) | ((0X5C&0X100) << 6))
  6172. 9'H05C : pro_read_wreg_data <= {{11{1'B0}},pro_cntvaluein7[4:0]};
  6173. //@apireg:group:title SyncDataRxIDelay
  6174. //@apireg:title PRO_DATA_RX_IO_RESET
  6175. //@apireg:software:name RxIOReset
  6176. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  6177. //@apireg:desc abs-addr:0X8974; IDelaycCrl模块复位,高电平复位, ; 初始化时复位一次,,,,
  6178. //@apireg:note reg_hw_name:pro_data_rx_io_reset
  6179. //@apireg:0xaddr 0X8800 | (((0X5D&0XFF) << 2) | ((0X5D&0X100) << 6))
  6180. 9'H05D : pro_read_wreg_data <= {{15{1'B0}},pro_data_rx_io_reset[0:0]};
  6181. //@apireg:group:title SyncDataRxIDelay
  6182. //@apireg:title PRO_IN_DELAY_RESET
  6183. //@apireg:software:name SetEffect
  6184. //@apireg:value:appoint bit-width:1 ; 1bit,active high
  6185. //@apireg:desc abs-addr:0X8978; 拉高生效.先设置数据,然后拉高生效。,,,,
  6186. //@apireg:note reg_hw_name:pro_in_delay_reset
  6187. //@apireg:0xaddr 0X8800 | (((0X5E&0XFF) << 2) | ((0X5E&0X100) << 6))
  6188. 9'H05E : pro_read_wreg_data <= {{15{1'B0}},pro_in_delay_reset[0:0]};
  6189. //@apireg:group:title SysInfo
  6190. //@apireg:title PRO_REG_READ_BACK
  6191. //@apireg:software:name WorkOKTest
  6192. //@apireg:value:appoint bit-width:16 ; 16bits_data
  6193. //@apireg:desc abs-addr:0XCBF8; SPI写数据(共24bit,分高低位) 低8位,,,,
  6194. //@apireg:note reg_hw_name:pro_reg_read_back
  6195. //@apireg:0xaddr 0X8800 | (((0X1FE&0XFF) << 2) | ((0X1FE&0X100) << 6))
  6196. 9'H1FE : pro_read_wreg_data <= pro_reg_read_back[15:0] ;
  6197. //@apireg:group:title SysMon
  6198. //@apireg:title PRO_SYSMON_RST
  6199. //@apireg:software:name pro_sysmon_rst
  6200. //@apireg:value:appoint bit-width:1 ; 系统检测模块复位,1bit,高有效
  6201. //@apireg:desc abs-addr:0X897C; none
  6202. //@apireg:note reg_hw_name:pro_sysmon_rst
  6203. //@apireg:0xaddr 0X8800 | (((0X5F&0XFF) << 2) | ((0X5F&0X100) << 6))
  6204. 9'H05F : pro_read_wreg_data <= {{15{1'B0}},pro_sysmon_rst[0:0]};
  6205. //@apireg:group:title 1st
  6206. //@apireg:title TRIG_MODULE_TRIG_AUTO_EN
  6207. //@apireg:software:name AutoModeEnable
  6208. //@apireg:value:appoint bit-width:16 ; 1bit 1:auto triger,0: single triger
  6209. //@apireg:desc abs-addr:0X8980; 是否选择了自动触发模式, ; 当一定时间内没有产生触发时, ; 屏幕强制产生触发信号,刷新波形,,,,
  6210. //@apireg:note reg_hw_name:trig_module_trig_auto_en
  6211. //@apireg:0xaddr 0X8800 | (((0X60&0XFF) << 2) | ((0X60&0X100) << 6))
  6212. 9'H060 : pro_read_wreg_data <= trig_module_trig_auto_en[15:0];
  6213. //@apireg:group:title 1st
  6214. //@apireg:title TRIG_MODULE_TRIG_CALI_VALUE
  6215. //@apireg:software:name CalibrationNum
  6216. //@apireg:value:appoint bit-width:8 ; 8bits
  6217. //@apireg:desc abs-addr:0X8984; 校正参数,设定的校正时间,触发信号到来之后继续 ; 读FIFO,用于修正实际触发点的固定偏移,,,,
  6218. //@apireg:note reg_hw_name:trig_module_trig_cali_value
  6219. //@apireg:0xaddr 0X8800 | (((0X61&0XFF) << 2) | ((0X61&0X100) << 6))
  6220. 9'H061 : pro_read_wreg_data <= {{8{1'B0}},trig_module_trig_cali_value[7:0]};
  6221. //@apireg:group:title 1st
  6222. //@apireg:title TRIG_MODULE_CALI_TRIG_DELAY_EN
  6223. //@apireg:software:name CaliTrigDelayEnable
  6224. //@apireg:value:appoint bit-width:1 ; 1bit 1:calibrate 0:off
  6225. //@apireg:desc abs-addr:0X8988; 校正参数使能,当使能有效时,修正数才起作用,,,,
  6226. //@apireg:note reg_hw_name:trig_module_cali_trig_delay_en
  6227. //@apireg:0xaddr 0X8800 | (((0X62&0XFF) << 2) | ((0X62&0X100) << 6))
  6228. 9'H062 : pro_read_wreg_data <= {{15{1'B0}},trig_module_cali_trig_delay_en[0:0]};
  6229. //@apireg:group:title 1st
  6230. //@apireg:title TRIG_MODULE_TRI_FORCE
  6231. //@apireg:software:name ForceTrigEnable
  6232. //@apireg:value:appoint bit-width:1 ; 1bit 1:force triger 高有效
  6233. //@apireg:desc abs-addr:0X898C; 无论是否产生触发,都输出一个强制触发信号, ; 显示一帧波形,,,,
  6234. //@apireg:note reg_hw_name:trig_module_tri_force
  6235. //@apireg:0xaddr 0X8800 | (((0X63&0XFF) << 2) | ((0X63&0X100) << 6))
  6236. 9'H063 : pro_read_wreg_data <= {{15{1'B0}},trig_module_tri_force[0:0]};
  6237. //@apireg:group:title 1st
  6238. //@apireg:title TRIG_MODULE_TRI_HOLDOFF_TIME_H16
  6239. //@apireg:software:name HoldOffTimeH
  6240. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  6241. //@apireg:desc abs-addr:0X8990; 触发释抑参数, 触发释抑这段时间内不响应触发, ; 释抑结束后马上响应下一个触发沿,,,,
  6242. //@apireg:note reg_hw_name:trig_module_tri_holdoff_time_h16
  6243. //@apireg:0xaddr 0X8800 | (((0X64&0XFF) << 2) | ((0X64&0X100) << 6))
  6244. 9'H064 : pro_read_wreg_data <= trig_module_tri_holdoff_time_h16[15:0];
  6245. //@apireg:group:title 1st
  6246. //@apireg:title TRIG_MODULE_TRI_HOLDOFF_TIME_L16
  6247. //@apireg:software:name HoldOffTimeL
  6248. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0]
  6249. //@apireg:desc abs-addr:0X8994; 触发释抑参数, 触发释抑这段时间内不响应触发, ; 释抑结束后马上响应下一个触发沿,,,,
  6250. //@apireg:note reg_hw_name:trig_module_tri_holdoff_time_l16
  6251. //@apireg:0xaddr 0X8800 | (((0X65&0XFF) << 2) | ((0X65&0X100) << 6))
  6252. 9'H065 : pro_read_wreg_data <= trig_module_tri_holdoff_time_l16[15:0];
  6253. //@apireg:group:title 1st
  6254. //@apireg:title TRIG_MODULE_TRIG_POSDEPTH_SET_H16
  6255. //@apireg:software:name PosDepthSetH
  6256. //@apireg:value:appoint bit-width:16 ; 16bits 2:[47:32]
  6257. //@apireg:desc abs-addr:0X8998; 后触发深度,以4ns为单位的个数,,,,
  6258. //@apireg:note reg_hw_name:trig_module_trig_posdepth_set_h16
  6259. //@apireg:0xaddr 0X8800 | (((0X66&0XFF) << 2) | ((0X66&0X100) << 6))
  6260. 9'H066 : pro_read_wreg_data <= trig_module_trig_posdepth_set_h16[15:0];
  6261. //@apireg:group:title 1st
  6262. //@apireg:title TRIG_MODULE_TRIG_POSDEPTH_SET_L16
  6263. //@apireg:software:name PosDepthSetL
  6264. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0]
  6265. //@apireg:desc abs-addr:0X899C; 后触发深度,以4ns为单位的个数,,,,
  6266. //@apireg:note reg_hw_name:trig_module_trig_posdepth_set_l16
  6267. //@apireg:0xaddr 0X8800 | (((0X67&0XFF) << 2) | ((0X67&0X100) << 6))
  6268. 9'H067 : pro_read_wreg_data <= trig_module_trig_posdepth_set_l16[15:0];
  6269. //@apireg:group:title 1st
  6270. //@apireg:title TRIG_MODULE_TRIG_POSDEPTH_SET_M16
  6271. //@apireg:software:name PosDepthSetM
  6272. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  6273. //@apireg:desc abs-addr:0X89A0; 后触发深度,以4ns为单位的个数,,,,
  6274. //@apireg:note reg_hw_name:trig_module_trig_posdepth_set_m16
  6275. //@apireg:0xaddr 0X8800 | (((0X68&0XFF) << 2) | ((0X68&0X100) << 6))
  6276. 9'H068 : pro_read_wreg_data <= trig_module_trig_posdepth_set_m16[15:0];
  6277. //@apireg:group:title 1st
  6278. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET_H16
  6279. //@apireg:software:name PreDepthSetH
  6280. //@apireg:value:appoint bit-width:16 ; 16bits 2:[47:32]
  6281. //@apireg:desc abs-addr:0X89A4; 预触发深度,调节触发点在屏幕中的位置,,,,
  6282. //@apireg:note reg_hw_name:trig_module_trig_predepth_set_h16
  6283. //@apireg:0xaddr 0X8800 | (((0X69&0XFF) << 2) | ((0X69&0X100) << 6))
  6284. 9'H069 : pro_read_wreg_data <= trig_module_trig_predepth_set_h16[15:0];
  6285. //@apireg:group:title 1st
  6286. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET_L16
  6287. //@apireg:software:name PreDepthSetL
  6288. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0]
  6289. //@apireg:desc abs-addr:0X89A8; 预触发深度,调节触发点在屏幕中的位置,,,,
  6290. //@apireg:note reg_hw_name:trig_module_trig_predepth_set_l16
  6291. //@apireg:0xaddr 0X8800 | (((0X6A&0XFF) << 2) | ((0X6A&0X100) << 6))
  6292. 9'H06A : pro_read_wreg_data <= trig_module_trig_predepth_set_l16[15:0];
  6293. //@apireg:group:title 1st
  6294. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET_M16
  6295. //@apireg:software:name PreDepthSetM
  6296. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  6297. //@apireg:desc abs-addr:0X89AC; 预触发深度,调节触发点在屏幕中的位置,,,,
  6298. //@apireg:note reg_hw_name:trig_module_trig_predepth_set_m16
  6299. //@apireg:0xaddr 0X8800 | (((0X6B&0XFF) << 2) | ((0X6B&0X100) << 6))
  6300. 9'H06B : pro_read_wreg_data <= trig_module_trig_predepth_set_m16[15:0];
  6301. //@apireg:group:title 1st
  6302. //@apireg:title TRIG_MODULE_TRIG_RESET_N
  6303. //@apireg:software:name Reset
  6304. //@apireg:value:appoint bit-width:1 ; 1bit 0:active 低有效
  6305. //@apireg:desc abs-addr:0X89B0; 软件触发复位,,,,
  6306. //@apireg:note reg_hw_name:trig_module_trig_reset_n
  6307. //@apireg:0xaddr 0X8800 | (((0X6C&0XFF) << 2) | ((0X6C&0X100) << 6))
  6308. 9'H06C : pro_read_wreg_data <= {{15{1'B0}},trig_module_trig_reset_n[0:0]};
  6309. //@apireg:group:title 1st
  6310. //@apireg:title TRIG_1ST_ACQ_TRIG_OR_EXT_SEL
  6311. //@apireg:software:name SourceControl
  6312. //@apireg:value:appoint bit-width:5 ; 5bits:b[2:0]选择来自哪一块采集板:000,板一,001,板二,002,板三,003:板四,004:板五,006:板六
  6313. //@apireg:desc abs-addr:0X89B4; 1级触发采集路径选择,最高两位为00时选择触发来自采集板,,,,
  6314. //@apireg:note reg_hw_name:trig_1st_source_sel
  6315. //@apireg:0xaddr 0X8800 | (((0X6D&0XFF) << 2) | ((0X6D&0X100) << 6))
  6316. 9'H06D : pro_read_wreg_data <= {{11{1'B0}},trig_1st_source_sel[4:0]};
  6317. //@apireg:group:title 1st
  6318. //@apireg:title TRIG_EXT_SETTING
  6319. //@apireg:software:name trig_ext_setting
  6320. //@apireg:value:appoint bit-width:16 ; [15:0]外触发设置
  6321. //@apireg:desc abs-addr:0X8AA8; none
  6322. //@apireg:note reg_hw_name:trig_ext_setting
  6323. //@apireg:0xaddr 0X8800 | (((0XAA&0XFF) << 2) | ((0XAA&0X100) << 6))
  6324. 9'H0AA : pro_read_wreg_data <= trig_ext_setting[15:0] ;
  6325. //@apireg:group:title 1st
  6326. //@apireg:title TRIG_1ST_AUTO_FAST_SETTING
  6327. //@apireg:software:name auto_fast
  6328. //@apireg:value:appoint bit-width:16 ; 16bits,[15] 1: 使能打开 0:使能关闭 ; [14:0] 计数个数
  6329. //@apireg:desc abs-addr:0X8AB0; 1级触发自动快速触发设置,,,,
  6330. //@apireg:note reg_hw_name:trig_1st_auto_fast_setting
  6331. //@apireg:0xaddr 0X8800 | (((0XAC&0XFF) << 2) | ((0XAC&0X100) << 6))
  6332. 9'H0AC : pro_read_wreg_data <= trig_1st_auto_fast_setting[15:0];
  6333. //@apireg:group:title 1st
  6334. //@apireg:title REG_CH_OFFSET_ADJUST_EN
  6335. //@apireg:software:name adjust_en
  6336. //@apireg:value:appoint bit-width:1 ; 通道偏移功能使能 1:打开 0:关闭
  6337. //@apireg:desc abs-addr:0XC844; none
  6338. //@apireg:note reg_hw_name:reg_ch_offset_adjust_en
  6339. //@apireg:0xaddr 0X8800 | (((0X111&0XFF) << 2) | ((0X111&0X100) << 6))
  6340. 9'H111 : pro_read_wreg_data <= {{15{1'B0}},reg_ch_offset_adjust_en[0:0]};
  6341. //@apireg:group:title 1st
  6342. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET1_H16
  6343. //@apireg:software:name trig_predepth_set1_h16
  6344. //@apireg:value:appoint bit-width:16 ; 通道2偏移预触发设定
  6345. //@apireg:desc abs-addr:0XC848; none
  6346. //@apireg:note reg_hw_name:trig_module_trig_predepth_set1_h16
  6347. //@apireg:0xaddr 0X8800 | (((0X112&0XFF) << 2) | ((0X112&0X100) << 6))
  6348. 9'H112 : pro_read_wreg_data <= trig_module_trig_predepth_set1_h16[15:0];
  6349. //@apireg:group:title 1st
  6350. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET1_L16
  6351. //@apireg:software:name trig_predepth_set1_l16
  6352. //@apireg:value:appoint bit-width:16 ; 通道2偏移预触发设定
  6353. //@apireg:desc abs-addr:0XC84C; none
  6354. //@apireg:note reg_hw_name:trig_module_trig_predepth_set1_l16
  6355. //@apireg:0xaddr 0X8800 | (((0X113&0XFF) << 2) | ((0X113&0X100) << 6))
  6356. 9'H113 : pro_read_wreg_data <= trig_module_trig_predepth_set1_l16[15:0];
  6357. //@apireg:group:title 1st
  6358. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET1_M16
  6359. //@apireg:software:name trig_predepth_set1_m16
  6360. //@apireg:value:appoint bit-width:16 ; 通道2偏移预触发设定
  6361. //@apireg:desc abs-addr:0XC850; none
  6362. //@apireg:note reg_hw_name:trig_module_trig_predepth_set1_m16
  6363. //@apireg:0xaddr 0X8800 | (((0X114&0XFF) << 2) | ((0X114&0X100) << 6))
  6364. 9'H114 : pro_read_wreg_data <= trig_module_trig_predepth_set1_m16[15:0];
  6365. //@apireg:group:title 1st
  6366. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET2_H16
  6367. //@apireg:software:name trig_predepth_set2_h16
  6368. //@apireg:value:appoint bit-width:16 ; 通道3偏移预触发设定
  6369. //@apireg:desc abs-addr:0XC854; none
  6370. //@apireg:note reg_hw_name:trig_module_trig_predepth_set2_h16
  6371. //@apireg:0xaddr 0X8800 | (((0X115&0XFF) << 2) | ((0X115&0X100) << 6))
  6372. 9'H115 : pro_read_wreg_data <= trig_module_trig_predepth_set2_h16[15:0];
  6373. //@apireg:group:title 1st
  6374. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET2_L16
  6375. //@apireg:software:name trig_predepth_set2_l16
  6376. //@apireg:value:appoint bit-width:16 ; 通道3偏移预触发设定
  6377. //@apireg:desc abs-addr:0XC858; none
  6378. //@apireg:note reg_hw_name:trig_module_trig_predepth_set2_l16
  6379. //@apireg:0xaddr 0X8800 | (((0X116&0XFF) << 2) | ((0X116&0X100) << 6))
  6380. 9'H116 : pro_read_wreg_data <= trig_module_trig_predepth_set2_l16[15:0];
  6381. //@apireg:group:title 1st
  6382. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET2_M16
  6383. //@apireg:software:name trig_predepth_set2_m16
  6384. //@apireg:value:appoint bit-width:16 ; 通道3偏移预触发设定
  6385. //@apireg:desc abs-addr:0XC85C; none
  6386. //@apireg:note reg_hw_name:trig_module_trig_predepth_set2_m16
  6387. //@apireg:0xaddr 0X8800 | (((0X117&0XFF) << 2) | ((0X117&0X100) << 6))
  6388. 9'H117 : pro_read_wreg_data <= trig_module_trig_predepth_set2_m16[15:0];
  6389. //@apireg:group:title 1st
  6390. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET3_H16
  6391. //@apireg:software:name trig_predepth_set3_h16
  6392. //@apireg:value:appoint bit-width:16 ; 通道4偏移预触发设定
  6393. //@apireg:desc abs-addr:0XC860; none
  6394. //@apireg:note reg_hw_name:trig_module_trig_predepth_set3_h16
  6395. //@apireg:0xaddr 0X8800 | (((0X118&0XFF) << 2) | ((0X118&0X100) << 6))
  6396. 9'H118 : pro_read_wreg_data <= trig_module_trig_predepth_set3_h16[15:0];
  6397. //@apireg:group:title 1st
  6398. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET3_L16
  6399. //@apireg:software:name trig_predepth_set3_l16
  6400. //@apireg:value:appoint bit-width:16 ; 通道4偏移预触发设定
  6401. //@apireg:desc abs-addr:0XC864; none
  6402. //@apireg:note reg_hw_name:trig_module_trig_predepth_set3_l16
  6403. //@apireg:0xaddr 0X8800 | (((0X119&0XFF) << 2) | ((0X119&0X100) << 6))
  6404. 9'H119 : pro_read_wreg_data <= trig_module_trig_predepth_set3_l16[15:0];
  6405. //@apireg:group:title 1st
  6406. //@apireg:title TRIG_MODULE_TRIG_PREDEPTH_SET3_M16
  6407. //@apireg:software:name trig_predepth_set3_m16
  6408. //@apireg:value:appoint bit-width:16 ; 通道4偏移预触发设定
  6409. //@apireg:desc abs-addr:0XC868; none
  6410. //@apireg:note reg_hw_name:trig_module_trig_predepth_set3_m16
  6411. //@apireg:0xaddr 0X8800 | (((0X11A&0XFF) << 2) | ((0X11A&0X100) << 6))
  6412. 9'H11A : pro_read_wreg_data <= trig_module_trig_predepth_set3_m16[15:0];
  6413. //@apireg:group:title 2nd
  6414. //@apireg:title TRIG_2ND_AUTO_TRIG_EN
  6415. //@apireg:software:name AutoModeEnable
  6416. //@apireg:value:appoint bit-width:1 ; 1bit,1:auto_trig,0:normal_trig
  6417. //@apireg:desc abs-addr:0X89B8; 2级触发模式1:自动触发; 0:正常触发,,,,
  6418. //@apireg:note reg_hw_name:trig_2nd_auto_trig_en
  6419. //@apireg:0xaddr 0X8800 | (((0X6E&0XFF) << 2) | ((0X6E&0X100) << 6))
  6420. 9'H06E : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_auto_trig_en[0:0]};
  6421. //@apireg:group:title 2nd
  6422. //@apireg:title TRIG_2ND_CMP1_LEVEL_L
  6423. //@apireg:software:name CompareVoltage1Down
  6424. //@apireg:value:appoint bit-width:12 ; 12bits,value_of_level
  6425. //@apireg:desc abs-addr:0X89BC; 2级触发电平低电平组:12位下限的以12Bit之4096为基准, ; 2048表示0电平,,,,
  6426. //@apireg:note reg_hw_name:trig_2nd_cmp1_level_l
  6427. //@apireg:0xaddr 0X8800 | (((0X6F&0XFF) << 2) | ((0X6F&0X100) << 6))
  6428. 9'H06F : pro_read_wreg_data <= {{4{1'B0}},trig_2nd_cmp1_level_l[11:0]};
  6429. //@apireg:group:title 2nd
  6430. //@apireg:title TRIG_2ND_CMP1_LEVEL_H
  6431. //@apireg:software:name CompareVoltage1Up
  6432. //@apireg:value:appoint bit-width:12 ; 12bits,value_of_level
  6433. //@apireg:desc abs-addr:0X89C0; 2级触发电平低电平组:12位上限的以12Bit之4096为基准, ; 2048表示0电平,,,,
  6434. //@apireg:note reg_hw_name:trig_2nd_cmp1_level_h
  6435. //@apireg:0xaddr 0X8800 | (((0X70&0XFF) << 2) | ((0X70&0X100) << 6))
  6436. 9'H070 : pro_read_wreg_data <= {{4{1'B0}},trig_2nd_cmp1_level_h[11:0]};
  6437. //@apireg:group:title 2nd
  6438. //@apireg:title TRIG_2ND_CMP2_LEVEL_L
  6439. //@apireg:software:name CompareVoltage2Down
  6440. //@apireg:value:appoint bit-width:12 ; 12bits value_of_level
  6441. //@apireg:desc abs-addr:0X89C4; 2级触发电平高电平组:用于需要两组触发电平的触发模式,如斜率,欠幅触发等,,,,
  6442. //@apireg:note reg_hw_name:trig_2nd_cmp2_level_l
  6443. //@apireg:0xaddr 0X8800 | (((0X71&0XFF) << 2) | ((0X71&0X100) << 6))
  6444. 9'H071 : pro_read_wreg_data <= {{4{1'B0}},trig_2nd_cmp2_level_l[11:0]};
  6445. //@apireg:group:title 2nd
  6446. //@apireg:title TRIG_2ND_CMP2_LEVEL_H
  6447. //@apireg:software:name CompareVoltage2Up
  6448. //@apireg:value:appoint bit-width:12 ; 12bits value_of_level
  6449. //@apireg:desc abs-addr:0X89C8; 2级触发电平高电平组:用于需要两组触发电平的触发模式,如斜率,欠幅触发等,,,,
  6450. //@apireg:note reg_hw_name:trig_2nd_cmp2_level_h
  6451. //@apireg:0xaddr 0X8800 | (((0X72&0XFF) << 2) | ((0X72&0X100) << 6))
  6452. 9'H072 : pro_read_wreg_data <= {{4{1'B0}},trig_2nd_cmp2_level_h[11:0]};
  6453. //@apireg:group:title 2nd
  6454. //@apireg:title TRIG_2ND_EDGE_TRIG_CHS
  6455. //@apireg:software:name EdgeSelect
  6456. //@apireg:value:appoint bit-width:16 ; 1bit,1:rising edge,0:falling edge 1x:any edge
  6457. //@apireg:desc abs-addr:0X89CC; 2级边沿触发极性选择:1:上升沿触发;0:下降沿触发,,,,
  6458. //@apireg:note reg_hw_name:trig_2nd_edge_trig_edge_sel
  6459. //@apireg:0xaddr 0X8800 | (((0X73&0XFF) << 2) | ((0X73&0X100) << 6))
  6460. 9'H073 : pro_read_wreg_data <= trig_2nd_edge_trig_edge_sel[15:0];
  6461. //@apireg:group:title 2nd
  6462. //@apireg:title TRIG_2ND_PRETRIG_DEPTH
  6463. //@apireg:software:name PreDepth
  6464. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  6465. //@apireg:desc abs-addr:0X89D0; 2级触发预触发深度值,,,,
  6466. //@apireg:note reg_hw_name:trig_2nd_pretrig_depth
  6467. //@apireg:0xaddr 0X8800 | (((0X74&0XFF) << 2) | ((0X74&0X100) << 6))
  6468. 9'H074 : pro_read_wreg_data <= trig_2nd_pretrig_depth[15:0];
  6469. //@apireg:group:title 2nd
  6470. //@apireg:title TRIG_2ND_AUTO_TRIG_NUM
  6471. //@apireg:software:name SearchRange
  6472. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  6473. //@apireg:desc abs-addr:0X89D4; 2级自动触发找点数,2级触发未来时,计数到该设置值后自动进行触发,,,,
  6474. //@apireg:note reg_hw_name:trig_2nd_auto_trig_num
  6475. //@apireg:0xaddr 0X8800 | (((0X75&0XFF) << 2) | ((0X75&0X100) << 6))
  6476. 9'H075 : pro_read_wreg_data <= trig_2nd_auto_trig_num[15:0];
  6477. //@apireg:group:title 2nd
  6478. //@apireg:title TRIG_2ND_SERIAL_TRIG_EN
  6479. //@apireg:software:name SerialTrigEnable
  6480. //@apireg:value:appoint bit-width:4 ; 1bit,1:trig_en 0:trig_off
  6481. //@apireg:desc abs-addr:0X89D8; 2级触发使能。1:触发使能 ;0:关闭,,,,
  6482. //@apireg:note reg_hw_name:trig_2nd_serial_trig_en
  6483. //@apireg:0xaddr 0X8800 | (((0X76&0XFF) << 2) | ((0X76&0X100) << 6))
  6484. 9'H076 : pro_read_wreg_data <= {{12{1'B0}},trig_2nd_serial_trig_en[3:0]};
  6485. //@apireg:group:title 2nd
  6486. //@apireg:title TRIG_2ND_TRIG_SOURCE_SEL
  6487. //@apireg:software:name SourceSelect
  6488. //@apireg:value:appoint bit-width:3 ; 3bits 0:channel1,1:channel2 ; (后续可能有其他的通道加入)
  6489. //@apireg:desc abs-addr:0X89DC; 2级数字触发源选择,信号是迟滞比较后产生的新的状态信号, ; 用于数字边沿触发,,,,
  6490. //@apireg:note reg_hw_name:trig_2nd_trig_source_sel
  6491. //@apireg:0xaddr 0X8800 | (((0X77&0XFF) << 2) | ((0X77&0X100) << 6))
  6492. 9'H077 : pro_read_wreg_data <= {{13{1'B0}},trig_2nd_trig_source_sel[2:0]};
  6493. //@apireg:group:title 2nd
  6494. //@apireg:title TRIG_2ND_TRIG_TYPE_SEL
  6495. //@apireg:software:name TrigTypeSelect
  6496. //@apireg:value:appoint bit-width:16 ; 11bits,[2:0]代表触发分类,00单通道高级触发,01多通道高级触发,02la触发,03协议触发,04其他触发(视频触发等)。[6:3]表示单通道高级触发类型:00边沿,01脉宽,02斜率,03跌落,04欠幅,05超时,06窗口。[10:7]表示多通道高级触发,00码型,01状态,02建立保持,03级联,04间隔。
  6497. //@apireg:desc abs-addr:0X89E0; 2级触发触发类型选择,,,,
  6498. //@apireg:note reg_hw_name:trig_2nd_trig_type_sel
  6499. //@apireg:0xaddr 0X8800 | (((0X78&0XFF) << 2) | ((0X78&0X100) << 6))
  6500. 9'H078 : pro_read_wreg_data <= trig_2nd_trig_type_sel[15:0];
  6501. //@apireg:group:title 2nd
  6502. //@apireg:title TRIG_2ND_SEARCH_EN
  6503. //@apireg:software:name search_en
  6504. //@apireg:value:appoint bit-width:1 ; 1:是开启查找触发点计数功能,不丢点 ; 0:关闭查找触发点计数功能,正常二级触发丢点模式
  6505. //@apireg:desc abs-addr:0X8A9C; none
  6506. //@apireg:note reg_hw_name:trig_2nd_search_en
  6507. //@apireg:0xaddr 0X8800 | (((0XA7&0XFF) << 2) | ((0XA7&0X100) << 6))
  6508. 9'H0A7 : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_search_en[0:0]};
  6509. //@apireg:group:title 2nd
  6510. //@apireg:title TRIG_2ND_AC_DC_SETTING
  6511. //@apireg:software:name ac_dc_setting
  6512. //@apireg:value:appoint bit-width:1 ; bit[0]开启二级触发ac_dc 使能。为高:ac.为低dc
  6513. //@apireg:desc abs-addr:0X8AA0; none
  6514. //@apireg:note reg_hw_name:trig_2nd_ac_dc_setting
  6515. //@apireg:0xaddr 0X8800 | (((0XA8&0XFF) << 2) | ((0XA8&0X100) << 6))
  6516. 9'H0A8 : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_ac_dc_setting[0:0]};
  6517. //@apireg:group:title ASourceSel
  6518. //@apireg:title TRIG_COM_TRIG_EVENT_A_SOURCE_SEL
  6519. //@apireg:software:name EventASourceSelect
  6520. //@apireg:value:appoint bit-width:3 ; 3bits 0:channel1;1:channel2 ; (后续可能有其他的通道加入)
  6521. //@apireg:desc abs-addr:0XC800; 事件A通道选择,,,,
  6522. //@apireg:note reg_hw_name:trig_com_trig_event_a_source_sel
  6523. //@apireg:0xaddr 0X8800 | (((0X100&0XFF) << 2) | ((0X100&0X100) << 6))
  6524. 9'H100 : pro_read_wreg_data <= {{13{1'B0}},trig_com_trig_event_a_source_sel[2:0]};
  6525. //@apireg:group:title BSourceSel
  6526. //@apireg:title TRIG_COM_TRIG_EVENT_B_SOURCE_SEL
  6527. //@apireg:software:name EventBSourceSelect
  6528. //@apireg:value:appoint bit-width:3 ; 3bits 0:channel1;1:channel2 ; (后续可能有其他的通道加入)
  6529. //@apireg:desc abs-addr:0XC804; 事件B通道选择,,,,
  6530. //@apireg:note reg_hw_name:trig_com_trig_event_b_source_sel
  6531. //@apireg:0xaddr 0X8800 | (((0X101&0XFF) << 2) | ((0X101&0X100) << 6))
  6532. 9'H101 : pro_read_wreg_data <= {{13{1'B0}},trig_com_trig_event_b_source_sel[2:0]};
  6533. //@apireg:group:title Cascaded
  6534. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTA_SOURCE
  6535. //@apireg:software:name EventASourceSelect
  6536. //@apireg:value:appoint bit-width:4 ; 3bits 0:channel1;1:channel2 2:channel 3 ... ; (后续可能有其他的通道加入)
  6537. //@apireg:desc abs-addr:0X89E4; 事件A通道选择,,,,
  6538. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventa_source
  6539. //@apireg:0xaddr 0X8800 | (((0X79&0XFF) << 2) | ((0X79&0X100) << 6))
  6540. 9'H079 : pro_read_wreg_data <= {{12{1'B0}},trig_com_trig_cascade_eventa_source[3:0]};
  6541. //@apireg:group:title Cascaded
  6542. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTB_SOURCE
  6543. //@apireg:software:name EventBSourceSelect
  6544. //@apireg:value:appoint bit-width:4 ; 3bits 0:channel1;1:channel2 2:channel 3 ... ; (后续可能有其他的通道加入)
  6545. //@apireg:desc abs-addr:0X89E8; 事件B通道选择,,,,
  6546. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventb_source
  6547. //@apireg:0xaddr 0X8800 | (((0X7A&0XFF) << 2) | ((0X7A&0X100) << 6))
  6548. 9'H07A : pro_read_wreg_data <= {{12{1'B0}},trig_com_trig_cascade_eventb_source[3:0]};
  6549. //@apireg:group:title Cascaded
  6550. //@apireg:title TRIG_COM_TRIG_CASCADED_EN
  6551. //@apireg:software:name CascadedEnable
  6552. //@apireg:value:appoint bit-width:1 ; 1bit 0:关闭;1:开启;
  6553. //@apireg:desc abs-addr:0X89EC; 级联触发使能,,,,
  6554. //@apireg:note reg_hw_name:trig_com_trig_cascaded_en
  6555. //@apireg:0xaddr 0X8800 | (((0X7B&0XFF) << 2) | ((0X7B&0X100) << 6))
  6556. 9'H07B : pro_read_wreg_data <= {{15{1'B0}},trig_com_trig_cascaded_en[0:0]};
  6557. //@apireg:group:title Cascaded
  6558. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTA_TYPE
  6559. //@apireg:software:name EventATrigType
  6560. //@apireg:value:appoint bit-width:4 ; 0:边沿 1-脉宽 2-斜率 3-欠幅 4-超时
  6561. //@apireg:desc abs-addr:0X8BA4; 事件A触发类型选择,,,,
  6562. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventa_type
  6563. //@apireg:0xaddr 0X8800 | (((0XE9&0XFF) << 2) | ((0XE9&0X100) << 6))
  6564. 9'H0E9 : pro_read_wreg_data <= {{12{1'B0}},trig_com_trig_cascade_eventa_type[3:0]};
  6565. //@apireg:group:title Cascaded
  6566. //@apireg:title TRIG_COM_TRIG_CASCADE_EVENTB_TYPE
  6567. //@apireg:software:name EventBTrigType
  6568. //@apireg:value:appoint bit-width:4 ; 0:边沿 1-脉宽 2-斜率 3-欠幅 4-超时
  6569. //@apireg:desc abs-addr:0X8BA8; 事件B触发类型选择,,,,
  6570. //@apireg:note reg_hw_name:trig_com_trig_cascade_eventb_type
  6571. //@apireg:0xaddr 0X8800 | (((0XEA&0XFF) << 2) | ((0XEA&0X100) << 6))
  6572. 9'H0EA : pro_read_wreg_data <= {{12{1'B0}},trig_com_trig_cascade_eventb_type[3:0]};
  6573. //@apireg:group:title Cascaded
  6574. //@apireg:title TRIG_COM_TRIG_CASCADE_DELAYA_SET
  6575. //@apireg:software:name EventADelaySet
  6576. //@apireg:value:appoint bit-width:16 ; [15] 为1,表示时间时间 ,此时[14:0]表示delay时间,以4ns为单位 ; 为0 ,表示事件,此时[14:0]表示delay事件,为事件个数
  6577. //@apireg:desc abs-addr:0X8BAC; 事件A延迟设置,,,,
  6578. //@apireg:note reg_hw_name:trig_com_trig_cascade_delaya_set
  6579. //@apireg:0xaddr 0X8800 | (((0XEB&0XFF) << 2) | ((0XEB&0X100) << 6))
  6580. 9'H0EB : pro_read_wreg_data <= trig_com_trig_cascade_delaya_set[15:0];
  6581. //@apireg:group:title Cascaded
  6582. //@apireg:title TRIG_COM_TRIG_CASCADE_DELAYB_SET
  6583. //@apireg:software:name EventBDelaySet
  6584. //@apireg:value:appoint bit-width:16 ; [15] 为1,表示时间时间 ,此时[14:0]表示delay时间,以4ns为单位 ; 为0 ,表示事件,此时[14:0]表示delay事件,为事件个数
  6585. //@apireg:desc abs-addr:0X8BB0; 事件B延迟设置,,,,
  6586. //@apireg:note reg_hw_name:trig_com_trig_cascade_delayb_set
  6587. //@apireg:0xaddr 0X8800 | (((0XEC&0XFF) << 2) | ((0XEC&0X100) << 6))
  6588. 9'H0EC : pro_read_wreg_data <= trig_com_trig_cascade_delayb_set[15:0];
  6589. //@apireg:group:title Code
  6590. //@apireg:title TRIG_COM_CODE_TRIG_CTRL_WORD0
  6591. //@apireg:software:name Condition
  6592. //@apireg:value:appoint bit-width:3 ; 3bits 000:与;001:或;010:与非;011:或非;
  6593. //@apireg:desc abs-addr:0X89F0; 码型逻辑比较选择,,,,
  6594. //@apireg:note reg_hw_name:trig_com_code_trig_ctrl_word0
  6595. //@apireg:0xaddr 0X8800 | (((0X7C&0XFF) << 2) | ((0X7C&0X100) << 6))
  6596. 9'H07C : pro_read_wreg_data <= {{13{1'B0}},trig_com_code_trig_ctrl_word0[2:0]};
  6597. //@apireg:group:title Code
  6598. //@apireg:title TRIG_COM_CODE_TRIG_CTRL_WORD1
  6599. //@apireg:software:name CtrlWord
  6600. //@apireg:value:appoint bit-width:16 ; 16bits [15:8]:通道无关项选择 ; [7:0]:各通道码型设置值
  6601. //@apireg:desc abs-addr:0X89F4; 8通道码型设置,,,,
  6602. //@apireg:note reg_hw_name:trig_com_code_trig_ctrl_word1
  6603. //@apireg:0xaddr 0X8800 | (((0X7D&0XFF) << 2) | ((0X7D&0X100) << 6))
  6604. 9'H07D : pro_read_wreg_data <= trig_com_code_trig_ctrl_word1[15:0];
  6605. //@apireg:group:title Code
  6606. //@apireg:title TRIG_COM_CODE_CODE_WIDTH_FUNC
  6607. //@apireg:software:name WidthAndPolarity
  6608. //@apireg:value:appoint bit-width:4 ; 4bits [3:2]:00:正极性;01:负极性; ; [1:0]:00=大于;01=小于;10=等于;11=不等于;
  6609. //@apireg:desc abs-addr:0X89F8; 码型脉宽比较符和极性选择,,,,
  6610. //@apireg:note reg_hw_name:trig_com_code_code_width_func
  6611. //@apireg:0xaddr 0X8800 | (((0X7E&0XFF) << 2) | ((0X7E&0X100) << 6))
  6612. 9'H07E : pro_read_wreg_data <= {{12{1'B0}},trig_com_code_code_width_func[3:0]};
  6613. //@apireg:group:title Dropout
  6614. //@apireg:title TRIG_2ND_TRIG_DROPOUT_FUNC
  6615. //@apireg:software:name IsDualEdgeRefresh
  6616. //@apireg:value:appoint bit-width:1 ; 1bit 0:无双沿刷新;1:有双沿刷新
  6617. //@apireg:desc abs-addr:0X89FC; 2级跌落刷新极性选择,,,,
  6618. //@apireg:note reg_hw_name:trig_2nd_trig_dropout_func
  6619. //@apireg:0xaddr 0X8800 | (((0X7F&0XFF) << 2) | ((0X7F&0X100) << 6))
  6620. 9'H07F : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_trig_dropout_func[0:0]};
  6621. //@apireg:group:title Dropout
  6622. //@apireg:title TRIG_2ND_TRIG_DROPOUT_POLARITY_SEL
  6623. //@apireg:software:name Polarity
  6624. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  6625. //@apireg:desc abs-addr:0X8A00; 2级跌落极性选择,,,,
  6626. //@apireg:note reg_hw_name:trig_2nd_trig_dropout_polarity_sel
  6627. //@apireg:0xaddr 0X8800 | (((0X80&0XFF) << 2) | ((0X80&0X100) << 6))
  6628. 9'H080 : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_trig_dropout_polarity_sel[0:0]};
  6629. //@apireg:group:title EdgeThenEdge
  6630. //@apireg:title TRIG_COM_TRIG_ETE_CAPTURE_POLAR
  6631. //@apireg:software:name CapturePolarity
  6632. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  6633. //@apireg:desc abs-addr:0X8A04; 捕捉信号极性,,,,
  6634. //@apireg:note reg_hw_name:trig_com_trig_ete_capture_polar
  6635. //@apireg:0xaddr 0X8800 | (((0X81&0XFF) << 2) | ((0X81&0X100) << 6))
  6636. 9'H081 : pro_read_wreg_data <= {{15{1'B0}},trig_com_trig_ete_capture_polar[0:0]};
  6637. //@apireg:group:title EdgeThenEdge
  6638. //@apireg:title TRIG_COM_TRIG_ETE_EVENT
  6639. //@apireg:software:name EventType
  6640. //@apireg:value:appoint bit-width:1 ; 1bit 0:时间计数;1:个数计数
  6641. //@apireg:desc abs-addr:0X8A08; 选择以时间进行计数或者以捕获沿个数进行计数,,,,
  6642. //@apireg:note reg_hw_name:trig_com_trig_ete_event
  6643. //@apireg:0xaddr 0X8800 | (((0X82&0XFF) << 2) | ((0X82&0X100) << 6))
  6644. 9'H082 : pro_read_wreg_data <= {{15{1'B0}},trig_com_trig_ete_event[0:0]};
  6645. //@apireg:group:title EdgeThenEdge
  6646. //@apireg:title TRIG_COM_TRIG_ETE_LAUNCH_POLAR
  6647. //@apireg:software:name LaunchPolarity
  6648. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  6649. //@apireg:desc abs-addr:0X8A0C; 选通信号极性,,,,
  6650. //@apireg:note reg_hw_name:trig_com_trig_ete_launch_polar
  6651. //@apireg:0xaddr 0X8800 | (((0X83&0XFF) << 2) | ((0X83&0X100) << 6))
  6652. 9'H083 : pro_read_wreg_data <= {{15{1'B0}},trig_com_trig_ete_launch_polar[0:0]};
  6653. //@apireg:group:title Fifo
  6654. //@apireg:title TRIG_2ND_SERIAL_PROG_FULL_THRESH
  6655. //@apireg:software:name SerialFifoDepth
  6656. //@apireg:value:appoint bit-width:16 ; 16bits,num_of_pts
  6657. //@apireg:desc abs-addr:0X8A10; 2级采集板串行FIFO深度,最大16384,缓存波形数据并找点,不同时基档位数深度不同,目前初始化设为16000,,,,
  6658. //@apireg:note reg_hw_name:trig_2nd_serial_prog_full_thresh
  6659. //@apireg:0xaddr 0X8800 | (((0X84&0XFF) << 2) | ((0X84&0X100) << 6))
  6660. 9'H084 : pro_read_wreg_data <= trig_2nd_serial_prog_full_thresh[15:0];
  6661. //@apireg:group:title Glitch
  6662. //@apireg:title TRIG_2ND_GLI_FUNC_SEL
  6663. //@apireg:software:name Condition
  6664. //@apireg:value:appoint bit-width:1 ; 1bit 0:<;1:>
  6665. //@apireg:desc abs-addr:0X8A14; 2级毛刺条件设置,,,,
  6666. //@apireg:note reg_hw_name:trig_2nd_gli_func_sel
  6667. //@apireg:0xaddr 0X8800 | (((0X85&0XFF) << 2) | ((0X85&0X100) << 6))
  6668. 9'H085 : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_gli_func_sel[0:0]};
  6669. //@apireg:group:title Interval
  6670. //@apireg:title TRIG_COM_TRIG_INTERVAL_FUNC
  6671. //@apireg:software:name Condition
  6672. //@apireg:value:appoint bit-width:2 ; 2bits 0:大于;1:小于;2:等于;3:不等于
  6673. //@apireg:desc abs-addr:0X8A18; 2级间隔条件选择,,,,
  6674. //@apireg:note reg_hw_name:trig_2nd_trig_interval_func
  6675. //@apireg:0xaddr 0X8800 | (((0X86&0XFF) << 2) | ((0X86&0X100) << 6))
  6676. 9'H086 : pro_read_wreg_data <= {{14{1'B0}},trig_2nd_trig_interval_func[1:0]};
  6677. //@apireg:group:title Interval
  6678. //@apireg:title TRIG_COM_TRIG_INTERVAL_POLARITY_SEL
  6679. //@apireg:software:name Polarity
  6680. //@apireg:value:appoint bit-width:1 ; 1bit 0:上升沿;1:下降沿
  6681. //@apireg:desc abs-addr:0X8A1C; 2级间隔极性选择,,,,
  6682. //@apireg:note reg_hw_name:trig_2nd_trig_interval_polarity_sel
  6683. //@apireg:0xaddr 0X8800 | (((0X87&0XFF) << 2) | ((0X87&0X100) << 6))
  6684. 9'H087 : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_trig_interval_polarity_sel[0:0]};
  6685. //@apireg:group:title Location
  6686. //@apireg:title TRIG_PRO_LOCA_SYNC_SET
  6687. //@apireg:software:name LocationReserve1
  6688. //@apireg:value:appoint bit-width:16 ; 16bits:可扩展
  6689. //@apireg:desc abs-addr:0X8A20; 预留 2/19,,,,
  6690. //@apireg:note reg_hw_name:trig_pro_loca_sync_set
  6691. //@apireg:0xaddr 0X8800 | (((0X88&0XFF) << 2) | ((0X88&0X100) << 6))
  6692. 9'H088 : pro_read_wreg_data <= trig_pro_loca_sync_set[15:0];
  6693. //@apireg:group:title Location
  6694. //@apireg:title TRIG_PRO_LOCA_SYNC_SET_EXT
  6695. //@apireg:software:name LocationReserve2
  6696. //@apireg:value:appoint bit-width:16 ; 预留扩展
  6697. //@apireg:desc abs-addr:0X8A24; 预留,,,,
  6698. //@apireg:note reg_hw_name:trig_pro_loca_sync_set_ext
  6699. //@apireg:0xaddr 0X8800 | (((0X89&0XFF) << 2) | ((0X89&0X100) << 6))
  6700. 9'H089 : pro_read_wreg_data <= trig_pro_loca_sync_set_ext[15:0];
  6701. //@apireg:group:title Location
  6702. //@apireg:title TRIG_PRO_LOCAL_SYNC_IO_RST
  6703. //@apireg:software:name SyncReset
  6704. //@apireg:value:appoint bit-width:1 ; delay复位,高有效,
  6705. //@apireg:desc abs-addr:0X8A78; none
  6706. //@apireg:note reg_hw_name:trig_pro_local_sync_io_rst
  6707. //@apireg:0xaddr 0X8800 | (((0X9E&0XFF) << 2) | ((0X9E&0X100) << 6))
  6708. 9'H09E : pro_read_wreg_data <= {{15{1'B0}},trig_pro_local_sync_io_rst[0:0]};
  6709. //@apireg:group:title Location
  6710. //@apireg:title TRIG_PRO_LOCAL_SYNC_DELAY_INC
  6711. //@apireg:software:name SyncINC
  6712. //@apireg:value:appoint bit-width:16 ; 16bits: 采集板inc使能,1递增0,递减
  6713. //@apireg:desc abs-addr:0X8A7C; none
  6714. //@apireg:note reg_hw_name:trig_pro_local_sync_delay_inc
  6715. //@apireg:0xaddr 0X8800 | (((0X9F&0XFF) << 2) | ((0X9F&0X100) << 6))
  6716. 9'H09F : pro_read_wreg_data <= trig_pro_local_sync_delay_inc[15:0];
  6717. //@apireg:group:title Location
  6718. //@apireg:title TRIG_PRO_LOCAL_SYNC_DELAY_CE
  6719. //@apireg:software:name SyncCE
  6720. //@apireg:value:appoint bit-width:16 ; 16bits:采集板ce使能,0时维持不变,1时在下一个时钟上升沿递增或递减
  6721. //@apireg:desc abs-addr:0X8A80; none
  6722. //@apireg:note reg_hw_name:trig_pro_local_sync_delay_ce
  6723. //@apireg:0xaddr 0X8800 | (((0XA0&0XFF) << 2) | ((0XA0&0X100) << 6))
  6724. 9'H0A0 : pro_read_wreg_data <= trig_pro_local_sync_delay_ce[15:0];
  6725. //@apireg:group:title Location
  6726. //@apireg:title TRIG_PRO_LOCAL_SYNC_DELAY_VTC
  6727. //@apireg:software:name SyncVTC
  6728. //@apireg:value:appoint bit-width:16 ; 16bits: 采集板vtc使能高有效
  6729. //@apireg:desc abs-addr:0X8A84; none
  6730. //@apireg:note reg_hw_name:trig_pro_local_sync_delay_vtc
  6731. //@apireg:0xaddr 0X8800 | (((0XA1&0XFF) << 2) | ((0XA1&0X100) << 6))
  6732. 9'H0A1 : pro_read_wreg_data <= trig_pro_local_sync_delay_vtc[15:0];
  6733. //@apireg:group:title Location
  6734. //@apireg:title DBI_PRO_TRIG_DISCARD
  6735. //@apireg:software:name dbi_pro_trig_discard
  6736. //@apireg:value:appoint bit-width:16 ; [15] 开启dbi 丢点使能 1有效 [14:0] 丢点数设置
  6737. //@apireg:desc abs-addr:0X8AA4; none
  6738. //@apireg:note reg_hw_name:dbi_pro_trig_discard
  6739. //@apireg:0xaddr 0X8800 | (((0XA9&0XFF) << 2) | ((0XA9&0X100) << 6))
  6740. 9'H0A9 : pro_read_wreg_data <= dbi_pro_trig_discard[15:0];
  6741. //@apireg:group:title Location
  6742. //@apireg:title TRIG_1ST_TEST_MODE_PRO_EN
  6743. //@apireg:software:name TestModeProEn
  6744. //@apireg:value:appoint bit-width:1 ; 触发传输偏移量测试模式使能,1bit,测试模式设为1,正常采集模式设为0
  6745. //@apireg:desc abs-addr:0XC964; none
  6746. //@apireg:note reg_hw_name:trig_1st_test_mode_pro_en
  6747. //@apireg:0xaddr 0X8800 | (((0X159&0XFF) << 2) | ((0X159&0X100) << 6))
  6748. 9'H159 : pro_read_wreg_data <= {{15{1'B0}},trig_1st_test_mode_pro_en[0:0]};
  6749. //@apireg:group:title Location
  6750. //@apireg:title TRIG_1ST_TEST_MODE_ACQ_NUM
  6751. //@apireg:software:name TestAcqNum
  6752. //@apireg:value:appoint bit-width:16 ; 触发传输偏移量测试采集板板卡号:0-采集板1 1-采集板2……
  6753. //@apireg:desc abs-addr:0XC968; none
  6754. //@apireg:note reg_hw_name:trig_1st_test_mode_acq_num
  6755. //@apireg:0xaddr 0X8800 | (((0X15A&0XFF) << 2) | ((0X15A&0X100) << 6))
  6756. 9'H15A : pro_read_wreg_data <= trig_1st_test_mode_acq_num[15:0];
  6757. //@apireg:group:title PulseWidth
  6758. //@apireg:title TRIG_2ND_TRIG_PW_FUNC_SEL
  6759. //@apireg:software:name Condition
  6760. //@apireg:value:appoint bit-width:2 ; 2bit 0 = (实际脉宽)大于(设置值); ; 1 = 小于; ; 2 = 等于; ; 3 = 小于或大于;
  6761. //@apireg:desc abs-addr:0X8A28; 脉宽比较限定符选择,,,,
  6762. //@apireg:note reg_hw_name:trig_2nd_trig_pw_func_sel
  6763. //@apireg:0xaddr 0X8800 | (((0X8A&0XFF) << 2) | ((0X8A&0X100) << 6))
  6764. 9'H08A : pro_read_wreg_data <= {{14{1'B0}},trig_2nd_trig_pw_func_sel[1:0]};
  6765. //@apireg:group:title PulseWidth
  6766. //@apireg:title TRIG_2ND_TRIG_PW_POLARITY_SEL
  6767. //@apireg:software:name Polarity
  6768. //@apireg:value:appoint bit-width:2 ; 2bit 0 = 正脉冲; ; 1 = 负脉冲;
  6769. //@apireg:desc abs-addr:0X8A2C; 2级脉冲极性选择,,,,
  6770. //@apireg:note reg_hw_name:trig_2nd_trig_pw_polarity_sel
  6771. //@apireg:0xaddr 0X8800 | (((0X8B&0XFF) << 2) | ((0X8B&0X100) << 6))
  6772. 9'H08B : pro_read_wreg_data <= {{14{1'B0}},trig_2nd_trig_pw_polarity_sel[1:0]};
  6773. //@apireg:group:title Runt
  6774. //@apireg:title TRIG_2ND_RUNT_FUNC_SEL
  6775. //@apireg:software:name Condition
  6776. //@apireg:value:appoint bit-width:3 ; 3bit 00:无关;01:<;10:>;11:=
  6777. //@apireg:desc abs-addr:0X8A30; 2级欠幅条件,低两位:欠幅宽度条件设置; ; 高位:欠幅极性设置0:负欠幅 1:正欠幅,,,,
  6778. //@apireg:note reg_hw_name:trig_2nd_runt_func_sel
  6779. //@apireg:0xaddr 0X8800 | (((0X8C&0XFF) << 2) | ((0X8C&0X100) << 6))
  6780. 9'H08C : pro_read_wreg_data <= {{13{1'B0}},trig_2nd_runt_func_sel[2:0]};
  6781. //@apireg:group:title Setuphold
  6782. //@apireg:title TRIG_COM_SETUP_HOLD_CTRL_WORD
  6783. //@apireg:software:name PolarityAndCondition
  6784. //@apireg:value:appoint bit-width:2 ; 2bits 0:setup,1:hold
  6785. //@apireg:desc abs-addr:0X8A34; 低位:建立/保持选择, ; 高位:时钟极性选择,,,,
  6786. //@apireg:note reg_hw_name:trig_com_setup_hold_ctrl_word
  6787. //@apireg:0xaddr 0X8800 | (((0X8D&0XFF) << 2) | ((0X8D&0X100) << 6))
  6788. 9'H08D : pro_read_wreg_data <= {{14{1'B0}},trig_com_setup_hold_ctrl_word[1:0]};
  6789. //@apireg:group:title Slope
  6790. //@apireg:title TRIG_COM_TRIG_SLOPE_FUNC_SEL
  6791. //@apireg:software:name PolarityAndCondition
  6792. //@apireg:value:appoint bit-width:3 ; 3bits [2]:1 = 上升沿; ; 0 = 下降沿;[1:0]:00 = (实际时间宽度)大于(设置值); ; 01 = 小于; ; 10 = 等于; ; 11 = 不等于;
  6793. //@apireg:desc abs-addr:0X8A38; 选择有效沿极性和选择比较限定符,,,,
  6794. //@apireg:note reg_hw_name:trig_com_trig_slope_func_sel
  6795. //@apireg:0xaddr 0X8800 | (((0X8E&0XFF) << 2) | ((0X8E&0X100) << 6))
  6796. 9'H08E : pro_read_wreg_data <= {{13{1'B0}},trig_com_trig_slope_func_sel[2:0]};
  6797. //@apireg:group:title State
  6798. //@apireg:title TRIG_COM_STATE_TRIG_CTRL_WORD
  6799. //@apireg:software:name CtrlWord
  6800. //@apireg:value:appoint bit-width:15 ; none
  6801. //@apireg:desc abs-addr:0X8A3C; none
  6802. //@apireg:note reg_hw_name:trig_com_state_trig_ctrl_word
  6803. //@apireg:0xaddr 0X8800 | (((0X8F&0XFF) << 2) | ((0X8F&0X100) << 6))
  6804. 9'H08F : pro_read_wreg_data <= {{1{1'B0}},trig_com_state_trig_ctrl_word[14:0]};
  6805. //@apireg:group:title Timeout
  6806. //@apireg:title TRIG_2ND_TRIG_TIMEOUT_FUNC
  6807. //@apireg:software:name IsKeepHighLevel
  6808. //@apireg:value:appoint bit-width:1 ; 1bit 0 = 保持低电平; ; 1 = 保持高电平;
  6809. //@apireg:desc abs-addr:0X8A40; 超时电压选择,,,,
  6810. //@apireg:note reg_hw_name:trig_2nd_trig_timeout_func
  6811. //@apireg:0xaddr 0X8800 | (((0X90&0XFF) << 2) | ((0X90&0X100) << 6))
  6812. 9'H090 : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_trig_timeout_func[0:0]};
  6813. //@apireg:group:title Video
  6814. //@apireg:title TRIG_COM_TRIG_VIDEO_CUSTOM_HORIZONTAL
  6815. //@apireg:software:name CustomHorizontalSet
  6816. //@apireg:value:appoint bit-width:11 ; 11bits
  6817. //@apireg:desc abs-addr:0X8A44; 视频触发行设置,,,,
  6818. //@apireg:note reg_hw_name:trig_com_trig_video_custom_horizontal
  6819. //@apireg:0xaddr 0X8800 | (((0X91&0XFF) << 2) | ((0X91&0X100) << 6))
  6820. 9'H091 : pro_read_wreg_data <= {{5{1'B0}},trig_com_trig_video_custom_horizontal[10:0]};
  6821. //@apireg:group:title Video
  6822. //@apireg:title TRIG_COM_TRIG_VIDEO_MODE
  6823. //@apireg:software:name Standard
  6824. //@apireg:value:appoint bit-width:3 ; 3bits 0:ntsc制式,480i; ; 1: pal制式,secam制式,576i; ; 2: 480p制式;3: 576p制式; ; 4: 720p制式;5: 原来是875i制式, ; 这里不需要,改成custom; ; 6: 1080i制式;7: 1080p制式
  6825. //@apireg:desc abs-addr:0X8A48; 视频制式,,,,
  6826. //@apireg:note reg_hw_name:trig_com_trig_video_mode
  6827. //@apireg:0xaddr 0X8800 | (((0X92&0XFF) << 2) | ((0X92&0X100) << 6))
  6828. 9'H092 : pro_read_wreg_data <= {{13{1'B0}},trig_com_trig_video_mode[2:0]};
  6829. //@apireg:group:title Video
  6830. //@apireg:title TRIG_COM_TRIG_VIDEO_SYNC_NUMBER
  6831. //@apireg:software:name SyncNumber
  6832. //@apireg:value:appoint bit-width:11 ; 11bits
  6833. //@apireg:desc abs-addr:0X8A4C; 视频触发行数设置,,,,
  6834. //@apireg:note reg_hw_name:trig_com_trig_video_sync_number
  6835. //@apireg:0xaddr 0X8800 | (((0X93&0XFF) << 2) | ((0X93&0X100) << 6))
  6836. 9'H093 : pro_read_wreg_data <= {{5{1'B0}},trig_com_trig_video_sync_number[10:0]};
  6837. //@apireg:group:title Video
  6838. //@apireg:title TRIG_VIDEO_TRI_MODE
  6839. //@apireg:software:name TrigMode
  6840. //@apireg:value:appoint bit-width:3 ; 3bits 000=所有行;001=指定行;010:偶数场;011:奇数场;100:所有场
  6841. //@apireg:desc abs-addr:0X8A50; 视频触发模式,,,,
  6842. //@apireg:note reg_hw_name:trig_video_tri_mode
  6843. //@apireg:0xaddr 0X8800 | (((0X94&0XFF) << 2) | ((0X94&0X100) << 6))
  6844. 9'H094 : pro_read_wreg_data <= {{13{1'B0}},trig_video_tri_mode[2:0]};
  6845. //@apireg:group:title WidthSet
  6846. //@apireg:title TRIG_2ND_TRIG_ETE_EVENT
  6847. //@apireg:software:name EventType
  6848. //@apireg:value:appoint bit-width:1 ; 1bit 0:时间计数;1:个数计数
  6849. //@apireg:desc abs-addr:0X8A54; 2级触发宽度计数选择,选择以时间还是事件计数,,,,
  6850. //@apireg:note reg_hw_name:trig_2nd_trig_ete_event
  6851. //@apireg:0xaddr 0X8800 | (((0X95&0XFF) << 2) | ((0X95&0X100) << 6))
  6852. 9'H095 : pro_read_wreg_data <= {{15{1'B0}},trig_2nd_trig_ete_event[0:0]};
  6853. //@apireg:group:title WidthSet
  6854. //@apireg:title TRIG_2ND_CONFIGURE_DATA2_SET_2
  6855. //@apireg:software:name NumberH
  6856. //@apireg:value:appoint bit-width:16 ; 16bit [47:32]
  6857. //@apireg:desc abs-addr:0X8A58; 2级触发宽度配置,以事件计数所有触发的宽度设置共用一组寄存器,在软件配置。,,,,
  6858. //@apireg:note reg_hw_name:trig_2nd_configure_data2_set_2
  6859. //@apireg:0xaddr 0X8800 | (((0X96&0XFF) << 2) | ((0X96&0X100) << 6))
  6860. 9'H096 : pro_read_wreg_data <= trig_2nd_configure_data2_set_2[15:0];
  6861. //@apireg:group:title WidthSet
  6862. //@apireg:title TRIG_2ND_CONFIGURE_DATA2_SET_0
  6863. //@apireg:software:name NumberL
  6864. //@apireg:value:appoint bit-width:16 ; 16bit [15:0]
  6865. //@apireg:desc abs-addr:0X8A5C; 2级触发宽度配置,以事件计数所有触发的宽度设置共用一组寄存器,在软件配置。,,,,
  6866. //@apireg:note reg_hw_name:trig_2nd_configure_data2_set_0
  6867. //@apireg:0xaddr 0X8800 | (((0X97&0XFF) << 2) | ((0X97&0X100) << 6))
  6868. 9'H097 : pro_read_wreg_data <= trig_2nd_configure_data2_set_0[15:0];
  6869. //@apireg:group:title WidthSet
  6870. //@apireg:title TRIG_2ND_CONFIGURE_DATA2_SET_1
  6871. //@apireg:software:name NumberM
  6872. //@apireg:value:appoint bit-width:16 ; 16bit [31:16]
  6873. //@apireg:desc abs-addr:0X8A60; 2级触发宽度配置,以事件计数所有触发的宽度设置共用一组寄存器,在软件配置。,,,,
  6874. //@apireg:note reg_hw_name:trig_2nd_configure_data2_set_1
  6875. //@apireg:0xaddr 0X8800 | (((0X98&0XFF) << 2) | ((0X98&0X100) << 6))
  6876. 9'H098 : pro_read_wreg_data <= trig_2nd_configure_data2_set_1[15:0];
  6877. //@apireg:group:title WidthSet
  6878. //@apireg:title TRIG_2ND_CONFIGURE_DATA1_SET_2
  6879. //@apireg:software:name WidthH
  6880. //@apireg:value:appoint bit-width:16 ; 16bits 2:[15:0]
  6881. //@apireg:desc abs-addr:0X8A64; 2级触发宽度配置,以时间计数,所有触发的宽度设置共用一组寄存器,在软件配置。持续时间根据插值抽取后的倍数决定,,,,
  6882. //@apireg:note reg_hw_name:trig_2nd_configure_data1_set_2
  6883. //@apireg:0xaddr 0X8800 | (((0X99&0XFF) << 2) | ((0X99&0X100) << 6))
  6884. 9'H099 : pro_read_wreg_data <= trig_2nd_configure_data1_set_2[15:0];
  6885. //@apireg:group:title WidthSet
  6886. //@apireg:title TRIG_2ND_CONFIGURE_DATA1_SET_0
  6887. //@apireg:software:name WidthL
  6888. //@apireg:value:appoint bit-width:16 ; 16bits 0:[15:0],
  6889. //@apireg:desc abs-addr:0X8A68; 2级触发宽度配置,所有触发的宽度设置共用一组寄存器,在软件配置。持续时间根据插值抽取后的倍数决定,,,,
  6890. //@apireg:note reg_hw_name:trig_2nd_configure_data1_set_0
  6891. //@apireg:0xaddr 0X8800 | (((0X9A&0XFF) << 2) | ((0X9A&0X100) << 6))
  6892. 9'H09A : pro_read_wreg_data <= trig_2nd_configure_data1_set_0[15:0];
  6893. //@apireg:group:title WidthSet
  6894. //@apireg:title TRIG_2ND_CONFIGURE_DATA1_SET_1
  6895. //@apireg:software:name WidthM
  6896. //@apireg:value:appoint bit-width:16 ; 16bits 1:[31:16]
  6897. //@apireg:desc abs-addr:0X8A6C; 2级触发宽度配置,以时间计数。所有触发的宽度设置共用一组寄存器,在软件配置。持续时间根据插值抽取后的倍数决定,,,,
  6898. //@apireg:note reg_hw_name:trig_2nd_configure_data1_set_1
  6899. //@apireg:0xaddr 0X8800 | (((0X9B&0XFF) << 2) | ((0X9B&0X100) << 6))
  6900. 9'H09B : pro_read_wreg_data <= trig_2nd_configure_data1_set_1[15:0];
  6901. //@apireg:group:title Window
  6902. //@apireg:title TRIG_2ND_TRIG_WINDOW_FUNC_SEL
  6903. //@apireg:software:name setting_2nd
  6904. //@apireg:value:appoint bit-width:3 ; trig_2nd_trig_window_func_sel[2]=0表示外部触发。 ; trig_2nd_trig_window_func_sel[2]=1表示内部触发。 ; trig_2nd_trig_window_func_sel[1:0]: ; 2’b00:大于; ; 2’b01:小于; ; 2’b10:等于; ; 2’b11:进入;
  6905. //@apireg:desc abs-addr:0XC808; 二级窗口触发功能选择,,,,
  6906. //@apireg:note reg_hw_name:trig_2nd_trig_window_func_sel
  6907. //@apireg:0xaddr 0X8800 | (((0X102&0XFF) << 2) | ((0X102&0X100) << 6))
  6908. 9'H102 : pro_read_wreg_data <= {{13{1'B0}},trig_2nd_trig_window_func_sel[2:0]};
  6909. //@apireg:group:title Window
  6910. //@apireg:title WINDOW_WIDTH_L
  6911. //@apireg:software:name width_L_2nd
  6912. //@apireg:value:appoint bit-width:16 ; 设置脉宽比较值低16位
  6913. //@apireg:desc abs-addr:0XC80C; none
  6914. //@apireg:note reg_hw_name:window_width_l
  6915. //@apireg:0xaddr 0X8800 | (((0X103&0XFF) << 2) | ((0X103&0X100) << 6))
  6916. 9'H103 : pro_read_wreg_data <= window_width_l[15:0] ;
  6917. //@apireg:group:title Window
  6918. //@apireg:title WINDOW_WIDTH_H
  6919. //@apireg:software:name width_H_2nd
  6920. //@apireg:value:appoint bit-width:16 ; 设置脉宽比较值高16位
  6921. //@apireg:desc abs-addr:0XC810; none
  6922. //@apireg:note reg_hw_name:window_width_h
  6923. //@apireg:0xaddr 0X8800 | (((0X104&0XFF) << 2) | ((0X104&0X100) << 6))
  6924. 9'H104 : pro_read_wreg_data <= window_width_h[15:0] ;
  6925. //@apireg:group:title TriggerSync
  6926. //@apireg:title TRIGGER_SYNC_SIGNAL_SWITCH_PRO
  6927. //@apireg:software:name SignalSwitch
  6928. //@apireg:value:appoint bit-width:1 ; 数据切换信号,0:扫窗测试信号,1:fifo读写使能信号
  6929. //@apireg:desc abs-addr:0X8A70; none
  6930. //@apireg:note reg_hw_name:trigger_sync_signal_switch_pro
  6931. //@apireg:0xaddr 0X8800 | (((0X9C&0XFF) << 2) | ((0X9C&0X100) << 6))
  6932. 9'H09C : pro_read_wreg_data <= {{15{1'B0}},trigger_sync_signal_switch_pro[0:0]};
  6933. //@apireg:group:title TriggerSync
  6934. //@apireg:title TRIGGER_SYNC_START_SEARCH_PRO
  6935. //@apireg:software:name StartSearch
  6936. //@apireg:value:appoint bit-width:1 ; 扫窗开始信号,上升沿有效
  6937. //@apireg:desc abs-addr:0X8A74; none
  6938. //@apireg:note reg_hw_name:trigger_sync_start_search_pro
  6939. //@apireg:0xaddr 0X8800 | (((0X9D&0XFF) << 2) | ((0X9D&0X100) << 6))
  6940. 9'H09D : pro_read_wreg_data <= {{15{1'B0}},trigger_sync_start_search_pro[0:0]};
  6941. //@apireg:group:title dbi
  6942. //@apireg:title DBI_PRO_AUTO_TRIG_NUM
  6943. //@apireg:software:name DBI_DBIPROAUTOTRIGNUM
  6944. //@apireg:value:appoint bit-width:16 ; dbi触发丢点数设置
  6945. //@apireg:desc abs-addr:0X8B2C; none
  6946. //@apireg:note reg_hw_name:dbi_pro_auto_trig_num
  6947. //@apireg:0xaddr 0X8800 | (((0XCB&0XFF) << 2) | ((0XCB&0X100) << 6))
  6948. 9'H0CB : pro_read_wreg_data <= dbi_pro_auto_trig_num[15:0];
  6949. //@apireg:group:title dbi
  6950. //@apireg:title TRIG_2ND_PRETRIG_DEPTH_INTERP
  6951. //@apireg:software:name DBI_TRIG2NDPRETRIGDEPTHINTERP
  6952. //@apireg:value:appoint bit-width:16 ; dbi二级触发深度设置
  6953. //@apireg:desc abs-addr:0X8B30; none
  6954. //@apireg:note reg_hw_name:trig_2nd_pretrig_depth_interp
  6955. //@apireg:0xaddr 0X8800 | (((0XCC&0XFF) << 2) | ((0XCC&0X100) << 6))
  6956. 9'H0CC : pro_read_wreg_data <= trig_2nd_pretrig_depth_interp[15:0];
  6957. //@apireg:group:title dbi
  6958. //@apireg:title PRO_FIFO_DEPTH_DBI_IN
  6959. //@apireg:software:name DBI_pro_fifo_depth_in
  6960. //@apireg:value:appoint bit-width:14 ; dbi前级fifo深度设置
  6961. //@apireg:desc abs-addr:0X8B34; none
  6962. //@apireg:note reg_hw_name:pro_fifo_depth_dbi_in
  6963. //@apireg:0xaddr 0X8800 | (((0XCD&0XFF) << 2) | ((0XCD&0X100) << 6))
  6964. 9'H0CD : pro_read_wreg_data <= {{2{1'B0}},pro_fifo_depth_dbi_in[13:0]};
  6965. //@apireg:group:title dbi
  6966. //@apireg:title IIR_BADPOINT_SET
  6967. //@apireg:software:name iir_badpoint_set
  6968. //@apireg:value:appoint bit-width:16 ; iir坏点设置
  6969. //@apireg:desc abs-addr:0X8B44; none
  6970. //@apireg:note reg_hw_name:iir_badpoint_set
  6971. //@apireg:0xaddr 0X8800 | (((0XD1&0XFF) << 2) | ((0XD1&0X100) << 6))
  6972. 9'H0D1 : pro_read_wreg_data <= iir_badpoint_set[15:0] ;
  6973. //@apireg:group:title dbi
  6974. //@apireg:title DBI_CH_OFFSET_ADJUST_CH12
  6975. //@apireg:software:name dbi_ch_offset_adjust_ch12
  6976. //@apireg:value:appoint bit-width:16 ; 16g+5g模式两个通道间偏移调整
  6977. //@apireg:desc abs-addr:0XC96C; none
  6978. //@apireg:note reg_hw_name:dbi_ch_offset_adjust_ch12
  6979. //@apireg:0xaddr 0X8800 | (((0X15B&0XFF) << 2) | ((0X15B&0X100) << 6))
  6980. 9'H15B : pro_read_wreg_data <= dbi_ch_offset_adjust_ch12[15:0];
  6981. //@apireg:group:title dbi
  6982. //@apireg:title DBI_CH_OFFSET_ADJUST_CH34
  6983. //@apireg:software:name dbi_ch_offset_adjust_ch34
  6984. //@apireg:value:appoint bit-width:16 ; 16g+5g模式两个通道间偏移调整
  6985. //@apireg:desc abs-addr:0XC970; none
  6986. //@apireg:note reg_hw_name:dbi_ch_offset_adjust_ch34
  6987. //@apireg:0xaddr 0X8800 | (((0X15C&0XFF) << 2) | ((0X15C&0X100) << 6))
  6988. 9'H15C : pro_read_wreg_data <= dbi_ch_offset_adjust_ch34[15:0];
  6989. //@apireg:group:title dbi
  6990. //@apireg:title SEL_TRIG_OR_PRO_PROG_FULL
  6991. //@apireg:software:name sel_trig_or_pro_prog_full
  6992. //@apireg:value:appoint bit-width:1 ; 选择传递触发信号还是编程满信号
  6993. //@apireg:desc abs-addr:0XC978; none
  6994. //@apireg:note reg_hw_name:sel_trig_or_pro_prog_full
  6995. //@apireg:0xaddr 0X8800 | (((0X15E&0XFF) << 2) | ((0X15E&0X100) << 6))
  6996. 9'H15E : pro_read_wreg_data <= {{15{1'B0}},sel_trig_or_pro_prog_full[0:0]};
  6997. //@apireg:group:title debug
  6998. //@apireg:title PRO_DEBUG_MODE
  6999. //@apireg:software:name pro_debug_mode
  7000. //@apireg:value:appoint bit-width:16 ; [0]:1 单采集板调试模式 0:正常模式
  7001. //@apireg:desc abs-addr:0X8B24; none
  7002. //@apireg:note reg_hw_name:pro_debug_mode
  7003. //@apireg:0xaddr 0X8800 | (((0XC9&0XFF) << 2) | ((0XC9&0X100) << 6))
  7004. 9'H0C9 : pro_read_wreg_data <= pro_debug_mode[15:0] ;
  7005. //@apireg:group:title ext_10m
  7006. //@apireg:title EXT_10M_SEL
  7007. //@apireg:software:name ext_10m_sel
  7008. //@apireg:value:appoint bit-width:16 ; [0]:为1表示选择外部10m
  7009. //@apireg:desc abs-addr:0XC8AC; none
  7010. //@apireg:note reg_hw_name:ext_10m_sel
  7011. //@apireg:0xaddr 0X8800 | (((0X12B&0XFF) << 2) | ((0X12B&0X100) << 6))
  7012. 9'H12B : pro_read_wreg_data <= ext_10m_sel[15:0] ;
  7013. //@apireg:group:title fifoCtrl
  7014. //@apireg:title SYS_RESETPROACQ
  7015. //@apireg:software:name FIFO_RST
  7016. //@apireg:value:appoint bit-width:16 ; 采集板和处理板采集复位
  7017. //@apireg:desc abs-addr:0XC9DC; none
  7018. //@apireg:note reg_hw_name:sys_resetproacq
  7019. //@apireg:0xaddr 0X8800 | (((0X177&0XFF) << 2) | ((0X177&0X100) << 6))
  7020. 9'H177 : pro_read_wreg_data <= sys_resetproacq[15:0] ;
  7021. //@apireg:group:title la
  7022. //@apireg:title LA_TRIG_2ND_PRETRIG_DEPTH
  7023. //@apireg:software:name la_trig_2nd_pretrig_depth
  7024. //@apireg:value:appoint bit-width:16 ; la 二级触发预触发深度
  7025. //@apireg:desc abs-addr:0X8B28; none
  7026. //@apireg:note reg_hw_name:la_trig_2nd_pretrig_depth
  7027. //@apireg:0xaddr 0X8800 | (((0XCA&0XFF) << 2) | ((0XCA&0X100) << 6))
  7028. 9'H0CA : pro_read_wreg_data <= la_trig_2nd_pretrig_depth[15:0];
  7029. //@apireg:group:title reverse
  7030. //@apireg:title PRO_REVERSE_WR_REG_0
  7031. //@apireg:software:name pro_reverse_wr_reg_0
  7032. //@apireg:value:appoint bit-width:16 ; 处理板备用写寄存器
  7033. //@apireg:desc abs-addr:0X8B1C; none
  7034. //@apireg:note reg_hw_name:pro_reverse_wr_reg_0
  7035. //@apireg:0xaddr 0X8800 | (((0XC7&0XFF) << 2) | ((0XC7&0X100) << 6))
  7036. 9'H0C7 : pro_read_wreg_data <= pro_reverse_wr_reg_0[15:0];
  7037. //@apireg:group:title reverse
  7038. //@apireg:title PRO_REVERSE_WR_REG_1
  7039. //@apireg:software:name pro_reverse_wr_reg_1
  7040. //@apireg:value:appoint bit-width:16 ; 处理板备用写寄存器
  7041. //@apireg:desc abs-addr:0X8B20; none
  7042. //@apireg:note reg_hw_name:pro_reverse_wr_reg_1
  7043. //@apireg:0xaddr 0X8800 | (((0XC8&0XFF) << 2) | ((0XC8&0X100) << 6))
  7044. 9'H0C8 : pro_read_wreg_data <= pro_reverse_wr_reg_1[15:0];
  7045. //@apireg:group:title scan_sync
  7046. //@apireg:title TRIG_LOCATION_SCAN_RST
  7047. //@apireg:software:name trig_location_scan_rst
  7048. //@apireg:value:appoint bit-width:1 ; 1复位,0释放 处理板往采集板发送触发位置tx端复位
  7049. //@apireg:desc abs-addr:0X8BC0; none
  7050. //@apireg:note reg_hw_name:trig_location_scan_rst
  7051. //@apireg:0xaddr 0X8800 | (((0XF0&0XFF) << 2) | ((0XF0&0X100) << 6))
  7052. 9'H0F0 : pro_read_wreg_data <= {{15{1'B0}},trig_location_scan_rst[0:0]};
  7053. //@apireg:group:title scan_sync
  7054. //@apireg:title TRIG_LOCATION_SCAN_SWITCH_PRO
  7055. //@apireg:software:name trig_location_scan_switch_pro
  7056. //@apireg:value:appoint bit-width:1 ; 0:test mode 1-正常数据模式 处理板往采集板发送触发位置tx端模式
  7057. //@apireg:desc abs-addr:0X8BC4; none
  7058. //@apireg:note reg_hw_name:trig_location_scan_switch_pro
  7059. //@apireg:0xaddr 0X8800 | (((0XF1&0XFF) << 2) | ((0XF1&0X100) << 6))
  7060. 9'H0F1 : pro_read_wreg_data <= {{15{1'B0}},trig_location_scan_switch_pro[0:0]};
  7061. //@apireg:group:title scan_sync
  7062. //@apireg:title SYNC_TRIG_LOCAT_ACQ1
  7063. //@apireg:software:name setting_trig_locat_acq1
  7064. //@apireg:value:appoint bit-width:16 ; 处理板对采集板1发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7065. //@apireg:desc abs-addr:0X8BC8; none
  7066. //@apireg:note reg_hw_name:sync_trig_locat_acq1
  7067. //@apireg:0xaddr 0X8800 | (((0XF2&0XFF) << 2) | ((0XF2&0X100) << 6))
  7068. 9'H0F2 : pro_read_wreg_data <= sync_trig_locat_acq1[15:0];
  7069. //@apireg:group:title scan_sync
  7070. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ1
  7071. //@apireg:software:name sync_trig_locat_TAP_start_acq1
  7072. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7073. //@apireg:desc abs-addr:0X8BCC; none
  7074. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq1
  7075. //@apireg:0xaddr 0X8800 | (((0XF3&0XFF) << 2) | ((0XF3&0X100) << 6))
  7076. 9'H0F3 : pro_read_wreg_data <= sync_trig_locat_tap_start_acq1[15:0];
  7077. //@apireg:group:title scan_sync
  7078. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ1
  7079. //@apireg:software:name sync_trig_locat_TAP_stop_acq1
  7080. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7081. //@apireg:desc abs-addr:0X8BD0; none
  7082. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq1
  7083. //@apireg:0xaddr 0X8800 | (((0XF4&0XFF) << 2) | ((0XF4&0X100) << 6))
  7084. 9'H0F4 : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq1[15:0];
  7085. //@apireg:group:title scan_sync
  7086. //@apireg:title SYNC_TRIG_LOCAT_ACQ2
  7087. //@apireg:software:name setting_trig_locat_acq2
  7088. //@apireg:value:appoint bit-width:16 ; 处理板对采集板2发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7089. //@apireg:desc abs-addr:0X8BD4; none
  7090. //@apireg:note reg_hw_name:sync_trig_locat_acq2
  7091. //@apireg:0xaddr 0X8800 | (((0XF5&0XFF) << 2) | ((0XF5&0X100) << 6))
  7092. 9'H0F5 : pro_read_wreg_data <= sync_trig_locat_acq2[15:0];
  7093. //@apireg:group:title scan_sync
  7094. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ2
  7095. //@apireg:software:name sync_trig_locat_TAP_start_acq2
  7096. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7097. //@apireg:desc abs-addr:0X8BD8; none
  7098. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq2
  7099. //@apireg:0xaddr 0X8800 | (((0XF6&0XFF) << 2) | ((0XF6&0X100) << 6))
  7100. 9'H0F6 : pro_read_wreg_data <= sync_trig_locat_tap_start_acq2[15:0];
  7101. //@apireg:group:title scan_sync
  7102. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ2
  7103. //@apireg:software:name sync_trig_locat_TAP_stop_acq2
  7104. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7105. //@apireg:desc abs-addr:0X8BDC; none
  7106. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq2
  7107. //@apireg:0xaddr 0X8800 | (((0XF7&0XFF) << 2) | ((0XF7&0X100) << 6))
  7108. 9'H0F7 : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq2[15:0];
  7109. //@apireg:group:title scan_sync
  7110. //@apireg:title SYNC_TRIG_LOCAT_ACQ3
  7111. //@apireg:software:name setting_trig_locat_acq3
  7112. //@apireg:value:appoint bit-width:16 ; 处理板对采集板3发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7113. //@apireg:desc abs-addr:0X8BE0; none
  7114. //@apireg:note reg_hw_name:sync_trig_locat_acq3
  7115. //@apireg:0xaddr 0X8800 | (((0XF8&0XFF) << 2) | ((0XF8&0X100) << 6))
  7116. 9'H0F8 : pro_read_wreg_data <= sync_trig_locat_acq3[15:0];
  7117. //@apireg:group:title scan_sync
  7118. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ3
  7119. //@apireg:software:name sync_trig_locat_TAP_start_acq3
  7120. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7121. //@apireg:desc abs-addr:0X8BE4; none
  7122. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq3
  7123. //@apireg:0xaddr 0X8800 | (((0XF9&0XFF) << 2) | ((0XF9&0X100) << 6))
  7124. 9'H0F9 : pro_read_wreg_data <= sync_trig_locat_tap_start_acq3[15:0];
  7125. //@apireg:group:title scan_sync
  7126. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ3
  7127. //@apireg:software:name sync_trig_locat_TAP_stop_acq3
  7128. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7129. //@apireg:desc abs-addr:0X8BE8; none
  7130. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq3
  7131. //@apireg:0xaddr 0X8800 | (((0XFA&0XFF) << 2) | ((0XFA&0X100) << 6))
  7132. 9'H0FA : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq3[15:0];
  7133. //@apireg:group:title scan_sync
  7134. //@apireg:title SYNC_TRIG_LOCAT_ACQ4
  7135. //@apireg:software:name setting_trig_locat_acq4
  7136. //@apireg:value:appoint bit-width:16 ; 处理板对采集板4发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7137. //@apireg:desc abs-addr:0X8BEC; none
  7138. //@apireg:note reg_hw_name:sync_trig_locat_acq4
  7139. //@apireg:0xaddr 0X8800 | (((0XFB&0XFF) << 2) | ((0XFB&0X100) << 6))
  7140. 9'H0FB : pro_read_wreg_data <= sync_trig_locat_acq4[15:0];
  7141. //@apireg:group:title scan_sync
  7142. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ4
  7143. //@apireg:software:name sync_trig_locat_TAP_start_acq4
  7144. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7145. //@apireg:desc abs-addr:0X8BF0; none
  7146. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq4
  7147. //@apireg:0xaddr 0X8800 | (((0XFC&0XFF) << 2) | ((0XFC&0X100) << 6))
  7148. 9'H0FC : pro_read_wreg_data <= sync_trig_locat_tap_start_acq4[15:0];
  7149. //@apireg:group:title scan_sync
  7150. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ4
  7151. //@apireg:software:name sync_trig_locat_TAP_stop_acq4
  7152. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7153. //@apireg:desc abs-addr:0X8BF4; none
  7154. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq4
  7155. //@apireg:0xaddr 0X8800 | (((0XFD&0XFF) << 2) | ((0XFD&0X100) << 6))
  7156. 9'H0FD : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq4[15:0];
  7157. //@apireg:group:title scan_sync
  7158. //@apireg:title FIFO_CTRL_SCAN_RST
  7159. //@apireg:software:name fifo_ctrl_scan_rst
  7160. //@apireg:value:appoint bit-width:1 ; 1复位,0释放 处理板往采集板发送fifo_ctrl 信号 tx端复位
  7161. //@apireg:desc abs-addr:0X8BF8; none
  7162. //@apireg:note reg_hw_name:fifo_ctrl_scan_rst
  7163. //@apireg:0xaddr 0X8800 | (((0XFE&0XFF) << 2) | ((0XFE&0X100) << 6))
  7164. 9'H0FE : pro_read_wreg_data <= {{15{1'B0}},fifo_ctrl_scan_rst[0:0]};
  7165. //@apireg:group:title scan_sync
  7166. //@apireg:title FIFO_CTRL_SCAN_SWITCH_PRO
  7167. //@apireg:software:name fifo_ctrl_scan_switch_pro
  7168. //@apireg:value:appoint bit-width:1 ; 0:test mode 1-正常数据模式 处理板往采集板发送fifo_ctrl信号 tx端切换
  7169. //@apireg:desc abs-addr:0X8BFC; none
  7170. //@apireg:note reg_hw_name:fifo_ctrl_scan_switch_pro
  7171. //@apireg:0xaddr 0X8800 | (((0XFF&0XFF) << 2) | ((0XFF&0X100) << 6))
  7172. 9'H0FF : pro_read_wreg_data <= {{15{1'B0}},fifo_ctrl_scan_switch_pro[0:0]};
  7173. //@apireg:group:title scan_sync
  7174. //@apireg:title SYNC_TRIG_ACQ1
  7175. //@apireg:software:name setting_trig_acq1
  7176. //@apireg:value:appoint bit-width:16 ; 处理板对采集板1发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7177. //@apireg:desc abs-addr:0XC814; none
  7178. //@apireg:note reg_hw_name:sync_trig_acq1
  7179. //@apireg:0xaddr 0X8800 | (((0X105&0XFF) << 2) | ((0X105&0X100) << 6))
  7180. 9'H105 : pro_read_wreg_data <= sync_trig_acq1[15:0] ;
  7181. //@apireg:group:title scan_sync
  7182. //@apireg:title SYNC_TRIG_TAP_START_ACQ1
  7183. //@apireg:software:name sync_trig_TAP_start_acq1
  7184. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7185. //@apireg:desc abs-addr:0XC818; none
  7186. //@apireg:note reg_hw_name:sync_trig_tap_start_acq1
  7187. //@apireg:0xaddr 0X8800 | (((0X106&0XFF) << 2) | ((0X106&0X100) << 6))
  7188. 9'H106 : pro_read_wreg_data <= sync_trig_tap_start_acq1[15:0];
  7189. //@apireg:group:title scan_sync
  7190. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ1
  7191. //@apireg:software:name sync_trig_TAP_stop_acq1
  7192. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7193. //@apireg:desc abs-addr:0XC81C; none
  7194. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq1
  7195. //@apireg:0xaddr 0X8800 | (((0X107&0XFF) << 2) | ((0X107&0X100) << 6))
  7196. 9'H107 : pro_read_wreg_data <= sync_trig_tap_stop_acq1[15:0];
  7197. //@apireg:group:title scan_sync
  7198. //@apireg:title SYNC_TRIG_ACQ2
  7199. //@apireg:software:name setting_trig_acq2
  7200. //@apireg:value:appoint bit-width:16 ; 处理板对采集板2发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7201. //@apireg:desc abs-addr:0XC820; none
  7202. //@apireg:note reg_hw_name:sync_trig_acq2
  7203. //@apireg:0xaddr 0X8800 | (((0X108&0XFF) << 2) | ((0X108&0X100) << 6))
  7204. 9'H108 : pro_read_wreg_data <= sync_trig_acq2[15:0] ;
  7205. //@apireg:group:title scan_sync
  7206. //@apireg:title SYNC_TRIG_TAP_START_ACQ2
  7207. //@apireg:software:name sync_trig_TAP_start_acq2
  7208. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7209. //@apireg:desc abs-addr:0XC824; none
  7210. //@apireg:note reg_hw_name:sync_trig_tap_start_acq2
  7211. //@apireg:0xaddr 0X8800 | (((0X109&0XFF) << 2) | ((0X109&0X100) << 6))
  7212. 9'H109 : pro_read_wreg_data <= sync_trig_tap_start_acq2[15:0];
  7213. //@apireg:group:title scan_sync
  7214. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ2
  7215. //@apireg:software:name sync_trig_TAP_stop_acq2
  7216. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7217. //@apireg:desc abs-addr:0XC828; none
  7218. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq2
  7219. //@apireg:0xaddr 0X8800 | (((0X10A&0XFF) << 2) | ((0X10A&0X100) << 6))
  7220. 9'H10A : pro_read_wreg_data <= sync_trig_tap_stop_acq2[15:0];
  7221. //@apireg:group:title scan_sync
  7222. //@apireg:title SYNC_TRIG_ACQ3
  7223. //@apireg:software:name setting_trig_acq3
  7224. //@apireg:value:appoint bit-width:16 ; 处理板对采集板3发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7225. //@apireg:desc abs-addr:0XC82C; none
  7226. //@apireg:note reg_hw_name:sync_trig_acq3
  7227. //@apireg:0xaddr 0X8800 | (((0X10B&0XFF) << 2) | ((0X10B&0X100) << 6))
  7228. 9'H10B : pro_read_wreg_data <= sync_trig_acq3[15:0] ;
  7229. //@apireg:group:title scan_sync
  7230. //@apireg:title SYNC_TRIG_TAP_START_ACQ3
  7231. //@apireg:software:name sync_trig_TAP_start_acq3
  7232. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7233. //@apireg:desc abs-addr:0XC830; none
  7234. //@apireg:note reg_hw_name:sync_trig_tap_start_acq3
  7235. //@apireg:0xaddr 0X8800 | (((0X10C&0XFF) << 2) | ((0X10C&0X100) << 6))
  7236. 9'H10C : pro_read_wreg_data <= sync_trig_tap_start_acq3[15:0];
  7237. //@apireg:group:title scan_sync
  7238. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ3
  7239. //@apireg:software:name sync_trig_TAP_stop_acq3
  7240. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7241. //@apireg:desc abs-addr:0XC834; none
  7242. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq3
  7243. //@apireg:0xaddr 0X8800 | (((0X10D&0XFF) << 2) | ((0X10D&0X100) << 6))
  7244. 9'H10D : pro_read_wreg_data <= sync_trig_tap_stop_acq3[15:0];
  7245. //@apireg:group:title scan_sync
  7246. //@apireg:title SYNC_TRIG_ACQ4
  7247. //@apireg:software:name setting_trig_acq4
  7248. //@apireg:value:appoint bit-width:16 ; 处理板对采集板4发出的触发信号做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7249. //@apireg:desc abs-addr:0XC838; none
  7250. //@apireg:note reg_hw_name:sync_trig_acq4
  7251. //@apireg:0xaddr 0X8800 | (((0X10E&0XFF) << 2) | ((0X10E&0X100) << 6))
  7252. 9'H10E : pro_read_wreg_data <= sync_trig_acq4[15:0] ;
  7253. //@apireg:group:title scan_sync
  7254. //@apireg:title SYNC_TRIG_TAP_START_ACQ4
  7255. //@apireg:software:name sync_trig_TAP_start_acq4
  7256. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7257. //@apireg:desc abs-addr:0XC83C; none
  7258. //@apireg:note reg_hw_name:sync_trig_tap_start_acq4
  7259. //@apireg:0xaddr 0X8800 | (((0X10F&0XFF) << 2) | ((0X10F&0X100) << 6))
  7260. 9'H10F : pro_read_wreg_data <= sync_trig_tap_start_acq4[15:0];
  7261. //@apireg:group:title scan_sync
  7262. //@apireg:title SYNC_TRIG_TAP_STOP_ACQ4
  7263. //@apireg:software:name sync_trig_TAP_stop_acq4
  7264. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7265. //@apireg:desc abs-addr:0XC840; none
  7266. //@apireg:note reg_hw_name:sync_trig_tap_stop_acq4
  7267. //@apireg:0xaddr 0X8800 | (((0X110&0XFF) << 2) | ((0X110&0X100) << 6))
  7268. 9'H110 : pro_read_wreg_data <= sync_trig_tap_stop_acq4[15:0];
  7269. //@apireg:group:title scan_sync
  7270. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ1
  7271. //@apireg:software:name tap_load_set_trig_acq1
  7272. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7273. //@apireg:desc abs-addr:0XC88C; none
  7274. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq1
  7275. //@apireg:0xaddr 0X8800 | (((0X123&0XFF) << 2) | ((0X123&0X100) << 6))
  7276. 9'H123 : pro_read_wreg_data <= sync_trig_tap_load_set_acq1[15:0];
  7277. //@apireg:group:title scan_sync
  7278. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ2
  7279. //@apireg:software:name tap_load_set_trig_acq2
  7280. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7281. //@apireg:desc abs-addr:0XC890; none
  7282. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq2
  7283. //@apireg:0xaddr 0X8800 | (((0X124&0XFF) << 2) | ((0X124&0X100) << 6))
  7284. 9'H124 : pro_read_wreg_data <= sync_trig_tap_load_set_acq2[15:0];
  7285. //@apireg:group:title scan_sync
  7286. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ3
  7287. //@apireg:software:name tap_load_set_trig_acq3
  7288. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7289. //@apireg:desc abs-addr:0XC894; none
  7290. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq3
  7291. //@apireg:0xaddr 0X8800 | (((0X125&0XFF) << 2) | ((0X125&0X100) << 6))
  7292. 9'H125 : pro_read_wreg_data <= sync_trig_tap_load_set_acq3[15:0];
  7293. //@apireg:group:title scan_sync
  7294. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ4
  7295. //@apireg:software:name tap_load_set_trig_acq4
  7296. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7297. //@apireg:desc abs-addr:0XC898; none
  7298. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq4
  7299. //@apireg:0xaddr 0X8800 | (((0X126&0XFF) << 2) | ((0X126&0X100) << 6))
  7300. 9'H126 : pro_read_wreg_data <= sync_trig_tap_load_set_acq4[15:0];
  7301. //@apireg:group:title scan_sync
  7302. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ1
  7303. //@apireg:software:name tap_load_set_trig_locat_acq1
  7304. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7305. //@apireg:desc abs-addr:0XC89C; none
  7306. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq1
  7307. //@apireg:0xaddr 0X8800 | (((0X127&0XFF) << 2) | ((0X127&0X100) << 6))
  7308. 9'H127 : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq1[15:0];
  7309. //@apireg:group:title scan_sync
  7310. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ2
  7311. //@apireg:software:name tap_load_set_trig_locat_acq2
  7312. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7313. //@apireg:desc abs-addr:0XC8A0; none
  7314. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq2
  7315. //@apireg:0xaddr 0X8800 | (((0X128&0XFF) << 2) | ((0X128&0X100) << 6))
  7316. 9'H128 : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq2[15:0];
  7317. //@apireg:group:title scan_sync
  7318. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ3
  7319. //@apireg:software:name tap_load_set_trig_locat_acq3
  7320. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7321. //@apireg:desc abs-addr:0XC8A4; none
  7322. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq3
  7323. //@apireg:0xaddr 0X8800 | (((0X129&0XFF) << 2) | ((0X129&0X100) << 6))
  7324. 9'H129 : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq3[15:0];
  7325. //@apireg:group:title scan_sync
  7326. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ4
  7327. //@apireg:software:name tap_load_set_trig_locat_acq4
  7328. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7329. //@apireg:desc abs-addr:0XC8A8; none
  7330. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq4
  7331. //@apireg:0xaddr 0X8800 | (((0X12A&0XFF) << 2) | ((0X12A&0X100) << 6))
  7332. 9'H12A : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq4[15:0];
  7333. //@apireg:group:title scan_sync
  7334. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ5
  7335. //@apireg:software:name tap_load_set_trig_acq5
  7336. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7337. //@apireg:desc abs-addr:0XC8C4; none
  7338. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq5
  7339. //@apireg:0xaddr 0X8800 | (((0X131&0XFF) << 2) | ((0X131&0X100) << 6))
  7340. 9'H131 : pro_read_wreg_data <= sync_trig_tap_load_set_acq5[15:0];
  7341. //@apireg:group:title scan_sync
  7342. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ6
  7343. //@apireg:software:name tap_load_set_trig_acq6
  7344. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7345. //@apireg:desc abs-addr:0XC8C8; none
  7346. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq6
  7347. //@apireg:0xaddr 0X8800 | (((0X132&0XFF) << 2) | ((0X132&0X100) << 6))
  7348. 9'H132 : pro_read_wreg_data <= sync_trig_tap_load_set_acq6[15:0];
  7349. //@apireg:group:title scan_sync
  7350. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ7
  7351. //@apireg:software:name tap_load_set_trig_acq7
  7352. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7353. //@apireg:desc abs-addr:0XC8CC; none
  7354. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq7
  7355. //@apireg:0xaddr 0X8800 | (((0X133&0XFF) << 2) | ((0X133&0X100) << 6))
  7356. 9'H133 : pro_read_wreg_data <= sync_trig_tap_load_set_acq7[15:0];
  7357. //@apireg:group:title scan_sync
  7358. //@apireg:title SYNC_TRIG_TAP_LOAD_SET_ACQ8
  7359. //@apireg:software:name tap_load_set_trig_acq8
  7360. //@apireg:value:appoint bit-width:16 ; 处理板trig [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7361. //@apireg:desc abs-addr:0XC8D0; none
  7362. //@apireg:note reg_hw_name:sync_trig_tap_load_set_acq8
  7363. //@apireg:0xaddr 0X8800 | (((0X134&0XFF) << 2) | ((0X134&0X100) << 6))
  7364. 9'H134 : pro_read_wreg_data <= sync_trig_tap_load_set_acq8[15:0];
  7365. //@apireg:group:title scan_sync
  7366. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ5
  7367. //@apireg:software:name tap_load_set_trig_locat_acq5
  7368. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7369. //@apireg:desc abs-addr:0XC8D4; none
  7370. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq5
  7371. //@apireg:0xaddr 0X8800 | (((0X135&0XFF) << 2) | ((0X135&0X100) << 6))
  7372. 9'H135 : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq5[15:0];
  7373. //@apireg:group:title scan_sync
  7374. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ6
  7375. //@apireg:software:name tap_load_set_trig_locat_acq6
  7376. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7377. //@apireg:desc abs-addr:0XC8D8; none
  7378. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq6
  7379. //@apireg:0xaddr 0X8800 | (((0X136&0XFF) << 2) | ((0X136&0X100) << 6))
  7380. 9'H136 : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq6[15:0];
  7381. //@apireg:group:title scan_sync
  7382. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ7
  7383. //@apireg:software:name tap_load_set_trig_locat_acq7
  7384. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7385. //@apireg:desc abs-addr:0XC8DC; none
  7386. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq7
  7387. //@apireg:0xaddr 0X8800 | (((0X137&0XFF) << 2) | ((0X137&0X100) << 6))
  7388. 9'H137 : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq7[15:0];
  7389. //@apireg:group:title scan_sync
  7390. //@apireg:title SYNC_TRIG_LOCAT_TAP_LOAD_SET_ACQ8
  7391. //@apireg:software:name tap_load_set_trig_locat_acq8
  7392. //@apireg:value:appoint bit-width:16 ; 处理板trig location [13:12]为模式选择,设为1时切换到fix模式;[8:0]下发的固定的tap值
  7393. //@apireg:desc abs-addr:0XC8E0; none
  7394. //@apireg:note reg_hw_name:sync_trig_locat_tap_load_set_acq8
  7395. //@apireg:0xaddr 0X8800 | (((0X138&0XFF) << 2) | ((0X138&0X100) << 6))
  7396. 9'H138 : pro_read_wreg_data <= sync_trig_locat_tap_load_set_acq8[15:0];
  7397. //@apireg:group:title scan_sync
  7398. //@apireg:title SYNC_TRIG_LOCAT_ACQ5
  7399. //@apireg:software:name setting_trig_locat_acq5
  7400. //@apireg:value:appoint bit-width:16 ; 处理板对采集板5发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7401. //@apireg:desc abs-addr:0XC8E4; none
  7402. //@apireg:note reg_hw_name:sync_trig_locat_acq5
  7403. //@apireg:0xaddr 0X8800 | (((0X139&0XFF) << 2) | ((0X139&0X100) << 6))
  7404. 9'H139 : pro_read_wreg_data <= sync_trig_locat_acq5[15:0];
  7405. //@apireg:group:title scan_sync
  7406. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ5
  7407. //@apireg:software:name sync_trig_locat_TAP_start_acq5
  7408. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7409. //@apireg:desc abs-addr:0XC8E8; none
  7410. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq5
  7411. //@apireg:0xaddr 0X8800 | (((0X13A&0XFF) << 2) | ((0X13A&0X100) << 6))
  7412. 9'H13A : pro_read_wreg_data <= sync_trig_locat_tap_start_acq5[15:0];
  7413. //@apireg:group:title scan_sync
  7414. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ5
  7415. //@apireg:software:name sync_trig_locat_TAP_stop_acq5
  7416. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7417. //@apireg:desc abs-addr:0XC8EC; none
  7418. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq5
  7419. //@apireg:0xaddr 0X8800 | (((0X13B&0XFF) << 2) | ((0X13B&0X100) << 6))
  7420. 9'H13B : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq5[15:0];
  7421. //@apireg:group:title scan_sync
  7422. //@apireg:title SYNC_TRIG_LOCAT_ACQ6
  7423. //@apireg:software:name setting_trig_locat_acq6
  7424. //@apireg:value:appoint bit-width:16 ; 处理板对采集板6发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7425. //@apireg:desc abs-addr:0XC8F0; none
  7426. //@apireg:note reg_hw_name:sync_trig_locat_acq6
  7427. //@apireg:0xaddr 0X8800 | (((0X13C&0XFF) << 2) | ((0X13C&0X100) << 6))
  7428. 9'H13C : pro_read_wreg_data <= sync_trig_locat_acq6[15:0];
  7429. //@apireg:group:title scan_sync
  7430. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ6
  7431. //@apireg:software:name sync_trig_locat_TAP_start_acq6
  7432. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7433. //@apireg:desc abs-addr:0XC8F4; none
  7434. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq6
  7435. //@apireg:0xaddr 0X8800 | (((0X13D&0XFF) << 2) | ((0X13D&0X100) << 6))
  7436. 9'H13D : pro_read_wreg_data <= sync_trig_locat_tap_start_acq6[15:0];
  7437. //@apireg:group:title scan_sync
  7438. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ6
  7439. //@apireg:software:name sync_trig_locat_TAP_stop_acq6
  7440. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7441. //@apireg:desc abs-addr:0XC8F8; none
  7442. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq6
  7443. //@apireg:0xaddr 0X8800 | (((0X13E&0XFF) << 2) | ((0X13E&0X100) << 6))
  7444. 9'H13E : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq6[15:0];
  7445. //@apireg:group:title scan_sync
  7446. //@apireg:title SYNC_TRIG_LOCAT_ACQ7
  7447. //@apireg:software:name setting_trig_locat_acq7
  7448. //@apireg:value:appoint bit-width:16 ; 处理板对采集板7发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7449. //@apireg:desc abs-addr:0XC8FC; none
  7450. //@apireg:note reg_hw_name:sync_trig_locat_acq7
  7451. //@apireg:0xaddr 0X8800 | (((0X13F&0XFF) << 2) | ((0X13F&0X100) << 6))
  7452. 9'H13F : pro_read_wreg_data <= sync_trig_locat_acq7[15:0];
  7453. //@apireg:group:title scan_sync
  7454. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ7
  7455. //@apireg:software:name sync_trig_locat_TAP_start_acq7
  7456. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7457. //@apireg:desc abs-addr:0XC900; none
  7458. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq7
  7459. //@apireg:0xaddr 0X8800 | (((0X140&0XFF) << 2) | ((0X140&0X100) << 6))
  7460. 9'H140 : pro_read_wreg_data <= sync_trig_locat_tap_start_acq7[15:0];
  7461. //@apireg:group:title scan_sync
  7462. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ7
  7463. //@apireg:software:name sync_trig_locat_TAP_stop_acq7
  7464. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7465. //@apireg:desc abs-addr:0XC904; none
  7466. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq7
  7467. //@apireg:0xaddr 0X8800 | (((0X141&0XFF) << 2) | ((0X141&0X100) << 6))
  7468. 9'H141 : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq7[15:0];
  7469. //@apireg:group:title scan_sync
  7470. //@apireg:title SYNC_TRIG_LOCAT_ACQ8
  7471. //@apireg:software:name setting_trig_locat_acq8
  7472. //@apireg:value:appoint bit-width:16 ; 处理板对采集板8发出的触发位置做扫窗同步控制 ; [3]start_search,开始扫窗,需要发0再发1 ; [2]signal switch,0-test mode, 1-正常数据模式,扫窗时设为0 ; [1]delay_ctrl_rst,1-复位,0-释放 ; [0]io_rst,1-复位,0-释放 ,
  7473. //@apireg:desc abs-addr:0XC908; none
  7474. //@apireg:note reg_hw_name:sync_trig_locat_acq8
  7475. //@apireg:0xaddr 0X8800 | (((0X142&0XFF) << 2) | ((0X142&0X100) << 6))
  7476. 9'H142 : pro_read_wreg_data <= sync_trig_locat_acq8[15:0];
  7477. //@apireg:group:title scan_sync
  7478. //@apireg:title SYNC_TRIG_LOCAT_TAP_START_ACQ8
  7479. //@apireg:software:name sync_trig_locat_TAP_start_acq8
  7480. //@apireg:value:appoint bit-width:16 ; 9位:扫窗起始值
  7481. //@apireg:desc abs-addr:0XC90C; none
  7482. //@apireg:note reg_hw_name:sync_trig_locat_tap_start_acq8
  7483. //@apireg:0xaddr 0X8800 | (((0X143&0XFF) << 2) | ((0X143&0X100) << 6))
  7484. 9'H143 : pro_read_wreg_data <= sync_trig_locat_tap_start_acq8[15:0];
  7485. //@apireg:group:title scan_sync
  7486. //@apireg:title SYNC_TRIG_LOCAT_TAP_STOP_ACQ8
  7487. //@apireg:software:name sync_trig_locat_TAP_stop_acq8
  7488. //@apireg:value:appoint bit-width:16 ; 9位:扫窗终止值
  7489. //@apireg:desc abs-addr:0XC910; none
  7490. //@apireg:note reg_hw_name:sync_trig_locat_tap_stop_acq8
  7491. //@apireg:0xaddr 0X8800 | (((0X144&0XFF) << 2) | ((0X144&0X100) << 6))
  7492. 9'H144 : pro_read_wreg_data <= sync_trig_locat_tap_stop_acq8[15:0];
  7493. //@apireg:group:title scan_sync
  7494. //@apireg:title DCM_RST_READBACK_TAP
  7495. //@apireg:software:name DCM_RST_BACK
  7496. //@apireg:value:appoint bit-width:16 ; 8bit回读比较序列,dcm_rst扫窗
  7497. //@apireg:desc abs-addr:0XC9AC; none
  7498. //@apireg:note reg_hw_name:dcm_rst_readback_tap
  7499. //@apireg:0xaddr 0X8800 | (((0X16B&0XFF) << 2) | ((0X16B&0X100) << 6))
  7500. 9'H16B : pro_read_wreg_data <= dcm_rst_readback_tap[15:0];
  7501. //@apireg:group:title search
  7502. //@apireg:title PC_SEARCH_PRO_EN
  7503. //@apireg:software:name pc_search_pro_en
  7504. //@apireg:value:appoint bit-width:1 ; 波形搜索使能
  7505. //@apireg:desc abs-addr:0XC8B0; none
  7506. //@apireg:note reg_hw_name:pc_search_pro_en
  7507. //@apireg:0xaddr 0X8800 | (((0X12C&0XFF) << 2) | ((0X12C&0X100) << 6))
  7508. 9'H12C : pro_read_wreg_data <= {{15{1'B0}},pc_search_pro_en[0:0]};
  7509. //@apireg:group:title search
  7510. //@apireg:title PC_SEARCH_DATA_NUML16
  7511. //@apireg:software:name PC_search_data_numl16
  7512. //@apireg:value:appoint bit-width:16 ; 波形搜索单次最大搜索数据量低16位
  7513. //@apireg:desc abs-addr:0XC8B4; none
  7514. //@apireg:note reg_hw_name:pc_search_data_numl16
  7515. //@apireg:0xaddr 0X8800 | (((0X12D&0XFF) << 2) | ((0X12D&0X100) << 6))
  7516. 9'H12D : pro_read_wreg_data <= pc_search_data_numl16[15:0];
  7517. //@apireg:group:title search
  7518. //@apireg:title PC_SEARCH_DATA_NUMH16
  7519. //@apireg:software:name PC_search_data_numh16
  7520. //@apireg:value:appoint bit-width:16 ; 波形搜索单次最大搜索数据量高16位
  7521. //@apireg:desc abs-addr:0XC8B8; none
  7522. //@apireg:note reg_hw_name:pc_search_data_numh16
  7523. //@apireg:0xaddr 0X8800 | (((0X12E&0XFF) << 2) | ((0X12E&0X100) << 6))
  7524. 9'H12E : pro_read_wreg_data <= pc_search_data_numh16[15:0];
  7525. //@apireg:group:title search
  7526. //@apireg:title PC_SEARCH_POINT_NUM
  7527. //@apireg:software:name PC_search_point_num
  7528. //@apireg:value:appoint bit-width:16 ; 波形搜索单次最大搜索特征点数量
  7529. //@apireg:desc abs-addr:0XC8BC; none
  7530. //@apireg:note reg_hw_name:pc_search_point_num
  7531. //@apireg:0xaddr 0X8800 | (((0X12F&0XFF) << 2) | ((0X12F&0X100) << 6))
  7532. 9'H12F : pro_read_wreg_data <= pc_search_point_num[15:0];
  7533. //@apireg:group:title search
  7534. //@apireg:title PC_READ_EN
  7535. //@apireg:software:name PC_read_en
  7536. //@apireg:value:appoint bit-width:1 ; 波形搜索存储fifo单次读使能
  7537. //@apireg:desc abs-addr:0XC8C0; none
  7538. //@apireg:note reg_hw_name:pc_read_en
  7539. //@apireg:0xaddr 0X8800 | (((0X130&0XFF) << 2) | ((0X130&0X100) << 6))
  7540. 9'H130 : pro_read_wreg_data <= {{15{1'B0}},pc_read_en[0:0]};
  7541. //@apireg:group:title search
  7542. //@apireg:title PC_SEARCH_TYPE
  7543. //@apireg:software:name pc_search_type
  7544. //@apireg:value:appoint bit-width:11 ; 搜索类型选择[2:0]表示单双或la触发选择(0代表单通道二级触发) [6:3]代表单通道触发类型选择 [10:7]代表多通道触发类型选择
  7545. //@apireg:desc abs-addr:0XC914; 版本信息,备注,,,,
  7546. //@apireg:note reg_hw_name:pc_search_type
  7547. //@apireg:0xaddr 0X8800 | (((0X145&0XFF) << 2) | ((0X145&0X100) << 6))
  7548. 9'H145 : pro_read_wreg_data <= {{5{1'B0}},pc_search_type[10:0]};
  7549. //@apireg:group:title search
  7550. //@apireg:title PC_SEARCH_SOURCE_SEL
  7551. //@apireg:software:name pc_search_source_sel
  7552. //@apireg:value:appoint bit-width:3 ; 搜索数据源选择
  7553. //@apireg:desc abs-addr:0XC918; 版本信息,备注,,,,
  7554. //@apireg:note reg_hw_name:pc_search_source_sel
  7555. //@apireg:0xaddr 0X8800 | (((0X146&0XFF) << 2) | ((0X146&0X100) << 6))
  7556. 9'H146 : pro_read_wreg_data <= {{13{1'B0}},pc_search_source_sel[2:0]};
  7557. //@apireg:group:title search
  7558. //@apireg:title PC_SEARCH_EDGE_SEL
  7559. //@apireg:software:name pc_search_edge_sel
  7560. //@apireg:value:appoint bit-width:1 ; 边沿选择 1:上升沿 0:下降沿
  7561. //@apireg:desc abs-addr:0XC91C; 版本信息,备注,,,,
  7562. //@apireg:note reg_hw_name:pc_search_edge_sel
  7563. //@apireg:0xaddr 0X8800 | (((0X147&0XFF) << 2) | ((0X147&0X100) << 6))
  7564. 9'H147 : pro_read_wreg_data <= {{15{1'B0}},pc_search_edge_sel[0:0]};
  7565. //@apireg:group:title search
  7566. //@apireg:title PC_SEARCH_PW_SET
  7567. //@apireg:software:name pc_search_pw_set
  7568. //@apireg:value:appoint bit-width:4 ; 脉宽设置(高2位代表极性,低两位判定条件)
  7569. //@apireg:desc abs-addr:0XC920; 版本信息,备注,,,,
  7570. //@apireg:note reg_hw_name:pc_search_pw_set
  7571. //@apireg:0xaddr 0X8800 | (((0X148&0XFF) << 2) | ((0X148&0X100) << 6))
  7572. 9'H148 : pro_read_wreg_data <= {{12{1'B0}},pc_search_pw_set[3:0]};
  7573. //@apireg:group:title search
  7574. //@apireg:title PC_SEARCH_WINDOW_SET
  7575. //@apireg:software:name pc_search_window_set
  7576. //@apireg:value:appoint bit-width:3 ; 最高位判断极性,低两位判断搜索条件
  7577. //@apireg:desc abs-addr:0XC924; 版本信息,备注,,,,
  7578. //@apireg:note reg_hw_name:pc_search_window_set
  7579. //@apireg:0xaddr 0X8800 | (((0X149&0XFF) << 2) | ((0X149&0X100) << 6))
  7580. 9'H149 : pro_read_wreg_data <= {{13{1'B0}},pc_search_window_set[2:0]};
  7581. //@apireg:group:title search
  7582. //@apireg:title PC_SEARCH_RUNT_SET
  7583. //@apireg:software:name pc_search_runt_set
  7584. //@apireg:value:appoint bit-width:3 ; 最高位判断极性,低两位判断搜索条件
  7585. //@apireg:desc abs-addr:0XC928; 版本信息,备注,,,,
  7586. //@apireg:note reg_hw_name:pc_search_runt_set
  7587. //@apireg:0xaddr 0X8800 | (((0X14A&0XFF) << 2) | ((0X14A&0X100) << 6))
  7588. 9'H14A : pro_read_wreg_data <= {{13{1'B0}},pc_search_runt_set[2:0]};
  7589. //@apireg:group:title search
  7590. //@apireg:title PC_SEARCH_SLOPE_SET
  7591. //@apireg:software:name pc_search_slope_set
  7592. //@apireg:value:appoint bit-width:3 ; 最高位判断极性,低两位判断搜索条件
  7593. //@apireg:desc abs-addr:0XC92C; 版本信息,备注,,,,
  7594. //@apireg:note reg_hw_name:pc_search_slope_set
  7595. //@apireg:0xaddr 0X8800 | (((0X14B&0XFF) << 2) | ((0X14B&0X100) << 6))
  7596. 9'H14B : pro_read_wreg_data <= {{13{1'B0}},pc_search_slope_set[2:0]};
  7597. //@apireg:group:title search
  7598. //@apireg:title PC_SEARCH_TIMEOUT_SET
  7599. //@apireg:software:name pc_search_timeout_set
  7600. //@apireg:value:appoint bit-width:1 ; 超时极性判断
  7601. //@apireg:desc abs-addr:0XC930; 版本信息,备注,,,,
  7602. //@apireg:note reg_hw_name:pc_search_timeout_set
  7603. //@apireg:0xaddr 0X8800 | (((0X14C&0XFF) << 2) | ((0X14C&0X100) << 6))
  7604. 9'H14C : pro_read_wreg_data <= {{15{1'B0}},pc_search_timeout_set[0:0]};
  7605. //@apireg:group:title search
  7606. //@apireg:title PC_SEARCH_DROPOUT_SET
  7607. //@apireg:software:name pc_search_dropout_set
  7608. //@apireg:value:appoint bit-width:1 ; 跌落极性判断
  7609. //@apireg:desc abs-addr:0XC934; 版本信息,备注,,,,
  7610. //@apireg:note reg_hw_name:pc_search_dropout_set
  7611. //@apireg:0xaddr 0X8800 | (((0X14D&0XFF) << 2) | ((0X14D&0X100) << 6))
  7612. 9'H14D : pro_read_wreg_data <= {{15{1'B0}},pc_search_dropout_set[0:0]};
  7613. //@apireg:group:title search
  7614. //@apireg:title PC_SEAECH_CMP1_LEVEL_L
  7615. //@apireg:software:name pc_seaech_cmp1_level_l
  7616. //@apireg:value:appoint bit-width:12 ; 低电平组低电平
  7617. //@apireg:desc abs-addr:0XC938; 版本信息,备注,,,,
  7618. //@apireg:note reg_hw_name:pc_seaech_cmp1_level_l
  7619. //@apireg:0xaddr 0X8800 | (((0X14E&0XFF) << 2) | ((0X14E&0X100) << 6))
  7620. 9'H14E : pro_read_wreg_data <= {{4{1'B0}},pc_seaech_cmp1_level_l[11:0]};
  7621. //@apireg:group:title search
  7622. //@apireg:title PC_SEAECH_CMP1_LEVEL_H
  7623. //@apireg:software:name pc_seaech_cmp1_level_h
  7624. //@apireg:value:appoint bit-width:12 ; 低电平组高电平
  7625. //@apireg:desc abs-addr:0XC93C; 版本信息,备注,,,,
  7626. //@apireg:note reg_hw_name:pc_seaech_cmp1_level_h
  7627. //@apireg:0xaddr 0X8800 | (((0X14F&0XFF) << 2) | ((0X14F&0X100) << 6))
  7628. 9'H14F : pro_read_wreg_data <= {{4{1'B0}},pc_seaech_cmp1_level_h[11:0]};
  7629. //@apireg:group:title search
  7630. //@apireg:title PC_SEAECH_CMP2_LEVEL_L
  7631. //@apireg:software:name pc_seaech_cmp2_level_l
  7632. //@apireg:value:appoint bit-width:12 ; 高电平组低电平
  7633. //@apireg:desc abs-addr:0XC940; 版本信息,备注,,,,
  7634. //@apireg:note reg_hw_name:pc_seaech_cmp2_level_l
  7635. //@apireg:0xaddr 0X8800 | (((0X150&0XFF) << 2) | ((0X150&0X100) << 6))
  7636. 9'H150 : pro_read_wreg_data <= {{4{1'B0}},pc_seaech_cmp2_level_l[11:0]};
  7637. //@apireg:group:title search
  7638. //@apireg:title PC_SEAECH_CMP2_LEVEL_H
  7639. //@apireg:software:name pc_seaech_cmp2_level_h
  7640. //@apireg:value:appoint bit-width:12 ; 高电平组高电平
  7641. //@apireg:desc abs-addr:0XC944; 版本信息,备注,,,,
  7642. //@apireg:note reg_hw_name:pc_seaech_cmp2_level_h
  7643. //@apireg:0xaddr 0X8800 | (((0X151&0XFF) << 2) | ((0X151&0X100) << 6))
  7644. 9'H151 : pro_read_wreg_data <= {{4{1'B0}},pc_seaech_cmp2_level_h[11:0]};
  7645. //@apireg:group:title search
  7646. //@apireg:title PC_SEARCH_CONFIGURE_DATA1_SETL
  7647. //@apireg:software:name pc_search_configure_data1_setL
  7648. //@apireg:value:appoint bit-width:16 ; 低电平组对应脉冲宽度设置低16位
  7649. //@apireg:desc abs-addr:0XC948; 版本信息,备注,,,,
  7650. //@apireg:note reg_hw_name:pc_search_configure_data1_setl
  7651. //@apireg:0xaddr 0X8800 | (((0X152&0XFF) << 2) | ((0X152&0X100) << 6))
  7652. 9'H152 : pro_read_wreg_data <= pc_search_configure_data1_setl[15:0];
  7653. //@apireg:group:title search
  7654. //@apireg:title PC_SEARCH_CONFIGURE_DATA1_SETM
  7655. //@apireg:software:name pc_search_configure_data1_setM
  7656. //@apireg:value:appoint bit-width:16 ; 低电平组对应脉冲宽度设置中16位
  7657. //@apireg:desc abs-addr:0XC94C; 版本信息,备注,,,,
  7658. //@apireg:note reg_hw_name:pc_search_configure_data1_setm
  7659. //@apireg:0xaddr 0X8800 | (((0X153&0XFF) << 2) | ((0X153&0X100) << 6))
  7660. 9'H153 : pro_read_wreg_data <= pc_search_configure_data1_setm[15:0];
  7661. //@apireg:group:title search
  7662. //@apireg:title PC_SEARCH_CONFIGURE_DATA1_SETH
  7663. //@apireg:software:name pc_search_configure_data1_setH
  7664. //@apireg:value:appoint bit-width:16 ; 低电平组对应脉冲宽度设置高16位
  7665. //@apireg:desc abs-addr:0XC950; 版本信息,备注,,,,
  7666. //@apireg:note reg_hw_name:pc_search_configure_data1_seth
  7667. //@apireg:0xaddr 0X8800 | (((0X154&0XFF) << 2) | ((0X154&0X100) << 6))
  7668. 9'H154 : pro_read_wreg_data <= pc_search_configure_data1_seth[15:0];
  7669. //@apireg:group:title search
  7670. //@apireg:title PC_SEARCH_CONFIGURE_DATA2_SETL
  7671. //@apireg:software:name pc_search_configure_data2_setL
  7672. //@apireg:value:appoint bit-width:16 ; 高电平组对应脉冲宽度设置低16位
  7673. //@apireg:desc abs-addr:0XC954; 版本信息,备注,,,,
  7674. //@apireg:note reg_hw_name:pc_search_configure_data2_setl
  7675. //@apireg:0xaddr 0X8800 | (((0X155&0XFF) << 2) | ((0X155&0X100) << 6))
  7676. 9'H155 : pro_read_wreg_data <= pc_search_configure_data2_setl[15:0];
  7677. //@apireg:group:title search
  7678. //@apireg:title PC_SEARCH_CONFIGURE_DATA2_SETM
  7679. //@apireg:software:name pc_search_configure_data2_setM
  7680. //@apireg:value:appoint bit-width:16 ; 高电平组对应脉冲宽度设置中16位
  7681. //@apireg:desc abs-addr:0XC958; 版本信息,备注,,,,
  7682. //@apireg:note reg_hw_name:pc_search_configure_data2_setm
  7683. //@apireg:0xaddr 0X8800 | (((0X156&0XFF) << 2) | ((0X156&0X100) << 6))
  7684. 9'H156 : pro_read_wreg_data <= pc_search_configure_data2_setm[15:0];
  7685. //@apireg:group:title search
  7686. //@apireg:title PC_SEARCH_CONFIGURE_DATA2_SETH
  7687. //@apireg:software:name pc_search_configure_data2_setH
  7688. //@apireg:value:appoint bit-width:16 ; 高电平组对应脉冲宽度设置高16位
  7689. //@apireg:desc abs-addr:0XC95C; 版本信息,备注,,,,
  7690. //@apireg:note reg_hw_name:pc_search_configure_data2_seth
  7691. //@apireg:0xaddr 0X8800 | (((0X157&0XFF) << 2) | ((0X157&0X100) << 6))
  7692. 9'H157 : pro_read_wreg_data <= pc_search_configure_data2_seth[15:0];
  7693. //@apireg:group:title seg
  7694. //@apireg:title PRO_DDR_RCD_RST_EN
  7695. //@apireg:software:name pro_ddr_rcd_rst_en
  7696. //@apireg:value:appoint bit-width:1 ; 处理板接收分段存储复位选择
  7697. //@apireg:desc abs-addr:0XC974; none
  7698. //@apireg:note reg_hw_name:pro_ddr_rcd_rst_en
  7699. //@apireg:0xaddr 0X8800 | (((0X15D&0XFF) << 2) | ((0X15D&0X100) << 6))
  7700. 9'H15D : pro_read_wreg_data <= {{15{1'B0}},pro_ddr_rcd_rst_en[0:0]};
  7701. //@apireg:group:title trig_exclude
  7702. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH1_L
  7703. //@apireg:software:name pro_1st_exclude_width1_l
  7704. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发下限
  7705. //@apireg:desc abs-addr:0XC86C; none
  7706. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width1_l
  7707. //@apireg:0xaddr 0X8800 | (((0X11B&0XFF) << 2) | ((0X11B&0X100) << 6))
  7708. 9'H11B : pro_read_wreg_data <= trig_1st_pro_exclude_width1_l[15:0];
  7709. //@apireg:group:title trig_exclude
  7710. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH1_H
  7711. //@apireg:software:name pro_1st_exclude_width1_h
  7712. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发下限
  7713. //@apireg:desc abs-addr:0XC870; none
  7714. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width1_h
  7715. //@apireg:0xaddr 0X8800 | (((0X11C&0XFF) << 2) | ((0X11C&0X100) << 6))
  7716. 9'H11C : pro_read_wreg_data <= trig_1st_pro_exclude_width1_h[15:0];
  7717. //@apireg:group:title trig_exclude
  7718. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH2_L
  7719. //@apireg:software:name pro_1st_exclude_width2_l
  7720. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发上限
  7721. //@apireg:desc abs-addr:0XC874; none
  7722. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width2_l
  7723. //@apireg:0xaddr 0X8800 | (((0X11D&0XFF) << 2) | ((0X11D&0X100) << 6))
  7724. 9'H11D : pro_read_wreg_data <= trig_1st_pro_exclude_width2_l[15:0];
  7725. //@apireg:group:title trig_exclude
  7726. //@apireg:title TRIG_1ST_PRO_EXCLUDE_WIDTH2_H
  7727. //@apireg:software:name pro_1st_exclude_width2_h
  7728. //@apireg:value:appoint bit-width:16 ; 处理板一级排除触发上限
  7729. //@apireg:desc abs-addr:0XC878; none
  7730. //@apireg:note reg_hw_name:trig_1st_pro_exclude_width2_h
  7731. //@apireg:0xaddr 0X8800 | (((0X11E&0XFF) << 2) | ((0X11E&0X100) << 6))
  7732. 9'H11E : pro_read_wreg_data <= trig_1st_pro_exclude_width2_h[15:0];
  7733. //@apireg:group:title trig_exclude
  7734. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH1_L
  7735. //@apireg:software:name pro_2nd_exclude_width1_l
  7736. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发下限
  7737. //@apireg:desc abs-addr:0XC87C; none
  7738. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width1_l
  7739. //@apireg:0xaddr 0X8800 | (((0X11F&0XFF) << 2) | ((0X11F&0X100) << 6))
  7740. 9'H11F : pro_read_wreg_data <= trig_2nd_pro_exclude_width1_l[15:0];
  7741. //@apireg:group:title trig_exclude
  7742. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH1_H
  7743. //@apireg:software:name pro_2nd__exclude_width1_h
  7744. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发下限
  7745. //@apireg:desc abs-addr:0XC880; none
  7746. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width1_h
  7747. //@apireg:0xaddr 0X8800 | (((0X120&0XFF) << 2) | ((0X120&0X100) << 6))
  7748. 9'H120 : pro_read_wreg_data <= trig_2nd_pro_exclude_width1_h[15:0];
  7749. //@apireg:group:title trig_exclude
  7750. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH2_L
  7751. //@apireg:software:name pro_2nd__exclude_width2_l
  7752. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发上限
  7753. //@apireg:desc abs-addr:0XC884; none
  7754. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width2_l
  7755. //@apireg:0xaddr 0X8800 | (((0X121&0XFF) << 2) | ((0X121&0X100) << 6))
  7756. 9'H121 : pro_read_wreg_data <= trig_2nd_pro_exclude_width2_l[15:0];
  7757. //@apireg:group:title trig_exclude
  7758. //@apireg:title TRIG_2ND_PRO_EXCLUDE_WIDTH2_H
  7759. //@apireg:software:name pro_2nd__exclude_width2_h
  7760. //@apireg:value:appoint bit-width:16 ; 处理二级排除触发上限
  7761. //@apireg:desc abs-addr:0XC888; none
  7762. //@apireg:note reg_hw_name:trig_2nd_pro_exclude_width2_h
  7763. //@apireg:0xaddr 0X8800 | (((0X122&0XFF) << 2) | ((0X122&0X100) << 6))
  7764. 9'H122 : pro_read_wreg_data <= trig_2nd_pro_exclude_width2_h[15:0];
  7765. default:
  7766. begin
  7767. pro_read_wreg_data[15:0] <=16'h0000;
  7768. end
  7769. endcase
  7770. end
  7771. endmodule
  7772. //@generatedtime : 2022-11-09 17:00:53